Publikationen 2015

Script list Publications

(1) Strain and Lattice Orientation Distribution in SiN/Ge Complementary Metal–Oxide–Semiconductor Compatible Light Emitting Microstructures by Quick X-Ray Nano-Diffraction Microscopy
G. Chahine, M.H. Zoellner, M.I. Richard, S. Guha, Ch. Reich, P. Zaumseil, G. Capellini, T. Schroeder, T.U. Schülli
Applied Physics Letters 106, 071902 (2015)
This paper presents a study of the spatial distribution of strain and lattice orientation in CMOS fabricated strained Ge microstripes using high resolution x-ray micro-diffraction. The recently
developed model-free characterization tool, based on a quick scanning x-ray diffraction microscopy technique can image strain down to levels of 105 (Da/a) with a spatial resolution of
0.5 lm. Strain and lattice tilt are extracted using the strain and orientation calculation software
package X-SOCS. The obtained results are compared with the biaxial strain distribution obtained
by lattice parameter-sensitive l-Raman and l-photoluminescence measurements. The experimental data are interpreted with the help of finite element modeling of the strain relaxation dynamics in the investigated structures.

(2) DFT Si Vacancy Re-visited: Supercell-Size Convergence of Formation Energies and Gap Levels of Vacancy Complexes in Crystalline Silicon
J. Dabrowski, G. Kissinger
Physical Review B 92, 144104 (2015)
(Future Silicon Wafers)
Results for supercell size convergence of ab initio formation energies and charge transition levels of vacancy complexes Vn (1 <= n <= 11) in crystalline silicon are reported. The calculations were done in the framework of the density functional theory (DFT), using periodic supercells with up to 1458 atoms. Three various generalized gradient approximation (GGA) pseudopotentials were tested, including a soft pseudopotential that reproduces the bulk lattice constant and bulk modulus of Si with the accuracy of 0.05 and -3.7. Spin polarization of electrons was taken into account. Analysis of the supercell size dependence indicates that the defect-defect interaction determining the asymptotic convergence is usually dominated by strain field. When extrapolated to infinite supercell size, the formation energy of the electrically neutral single vacancy and the binding energy of the electrically neutral divacancy are estimated to be 3.8 eV +- eV and 2.0 eV +- 0.3 eV, respectively. Particularly stable cluster geometries are those built on the basis of either six-fold rings (when $n\le7$) or of octahedral voids (when n>=8). If the well-known underestimate of the band gap by the DFT and the accuracy of extrapolations are taken into account, the theoretical charge transition levels extrapolated to infinite cell sizes are in good agreement with experiment.

(3) Initial State of Graphene Growth on Ge(001) Surfaces
J. Dabrowski, G. Lippert, G. Lupina
ECS Transactions 69, 345 (2015)
(Graphen)
Graphene electronics is most likely to complement the mainstream Si technologies instead of replacing them. Large area graphene that can be grown on Cu or on Ni must be subsequently transferred to Si, which is problematical. A process in which graphene grows directly on a Si wafer would thus be welcome; yet SiC formation makes this hardly realizable. Researchers search therefore for growth methods on CMOS-compatible substrates, as Ge/Si(001). We discuss the results of ab initio density functional theory (DFT) calculations for the interaction between carbon and germanium during deposition of C atoms (molecular beam epitaxy, MBE) and of small hydrocarbon molecules (chemical vapor deposition, CVD) on the Ge(001)-p(2×2) surface, during graphene nucleation that follows this deposition, and during the initial stage of graphene growth. The major difference between MBE and CVD process is that liberation of germanium from surface dimers by subsurface diffusion of carbon are suppressed in CVD.

(4) Graphene Field Effect Transistors with Niobium Contacts and Asymmetric Transfer Characteristics
A. Di Bartolomeo, F. Giubileo, F. Romeo, P. Sabatino, G. Carapella, L. Lemmo, T. Schroeder, G. Lupina
Nanotechnology 26, 475202 (2015)
We fabricate back-gated field effect transistors using niobium electrodes on mechanically
exfoliated monolayer graphene and perform electrical characterization in the pressure range from atmospheric down to 10−4 mbar. We study the effect of room temperature vacuum degassing and report asymmetric transfer characteristics with a resistance plateau in the n-branch. We show that weakly chemisorbed Nb acts as p-dopant on graphene and explain the transistor characteristics by Nb/graphene interaction with unpinned Fermi level at the interface.

(5) Epi-Cleaning of Ge/GeSn Heterostructures
L. Di Gaspare, D. Sabbagh, M. De Seta, A. Sodo, S. Wirths, D. Buca, P. Zaumseil, T. Schroeder, G. Capellini
Journal of Applied Physics 117, 045306 (2015)
We demonstrate a very-low temperature cleaning technique based on atomic hydrogen irradiation for highly (1 %) tensile strained Ge epilayers grown on metastable, partially strain relaxed GeSn buffer layers. Atomic hydrogen is obtained by catalytic cracking of hydrogen gas on a hot tungsten filament in an ultra-high vacuum chamber. X-ray photoemission spectroscopy, reflection high energy electron spectroscopy, atomic force microscopy, secondary ion mass spectroscopy, and micro-Raman showed that an O- and C-free Ge surface was achieved, while maintaining the same roughness and strain condition of the as-deposited sample and without  any Sn segregation, at a process temperature in the  100-300 °C range.

(6) Growth and Characterization of SiGeSn Quantum Well Photodiodes
I.A. Fischer, T. Wendav, L. Augel, S. Jitpakdeebodin, F. Oliveira, A. Benedetti, S. Stefanov, S. Chiussi, G. Capellini, K. Busch, J. Schulze
Optics Express 23(19), 25048 (2015)
We report on the fabrication and electro-optical characterization of SiGeSn multi-quantum well PIN diodes. Two types of PIN diodes, in which two and four quantum wells with well and barrier thicknesses of 10 nm each are sandwiched between B- and Sb-doped Ge-regions, were fabricated as single-mesa devices, using a low-temperature fabrication process. We discuss measurements of the diode characteristics, optical responsivity and room-temperature electroluminescence and compare with theoretical predictions from band structure calculations.

(7) Impact of Intercell and Intracell Variability on Forming and Switching Parameters in RRAM Arrays
A. Grossi, D. Walczyk, C. Zambelli, E. Miranda, P. Olivo, V. Stikanov, A. Feriani, J. Sune, G. Schoof, R. Kraemer, B. Tillack, A. Fox, T. Schroeder, Ch. Wenger, Ch. Walczyk
IEEE Transactions on Electron Devices 62(8), 2502 (2015)
DOI: 10.1109/TED.2015.2442412, (RRAM (Resistive RAM))
The intercell variability of the initial state and the impact of dc and pulse forming on intercell variability as well as on intracell variability in TiN/HfO2/Ti/TiN 1 transistor – 1 resistor (1T-1R) devices in 4-kb memory arrays were investigated. Nearly 78% of devices on particular arrays were dc formed with a wordline (WL) voltage VWL = 1.4 V and a bitline (BL) voltage VBL = 2.3 V, whereas 22% of devices were not formed due to the combined effect of the extrinsic process-induced intercell variability of the initial state and the intrinsic intercell variability after dc forming. Furthermore, pulse-induced forming with pulsewidths on the order of 10 μs (VWL = 1.4 V and VBL = 3.5 V) caused for 86% of devices a low-resistance state. Using a retry algorithm, we achieve 100% of formed devices. To assess and confirm the nature of the variability during forming operation and during cycling, the quantum point-contact model was considered. The modeling results demonstrate a relationship between the forming and the device performance. The cells requiring high energy for the forming operation, due to impurities in the HfO2 deposition.

(8) Relationship Among Current Fluctuations during Forming, Cell-to-Cell Variability and Reliability in RRAM Arrays
A. Grossi, C. Zambelli, P. Olivo, E. Miranda, V. Stikanov, T. Schroeder, Ch. Walczyk, Ch. Wenger
Proc. 7th International Memory Workshop (IMW 2015), 93 (2015)
DOI: 10.1109/IMW.2015.7150303, (R2RAM)

(9) Radiation Hard Design of HfO2 based 1T1R Cells and Memory Arrays
A. Grossi, C. Calligaro, E. Perez, F. Teply, Th. Mausolf, C. Zambelli, P. Olivo, Ch. Wenger
Proc. IEEE International Conference on Memristive Systems (MEMRISYS 2015), (2015)
DOI: 10.1109/MEMRISYS.2015.7378390, (R2RAM)

(10) Electrical Characterization and Modeling of Pulse-based Forming Techniques in RRAM Arrays
A. Grossi, C. Zambelli, P. Olivo, E. Miranda, V. Stikanov, Ch. Walczyk, Ch.Wenger
Solid State Electronics 115, 17 (2015)
DOI: 10.1016/j.sse.2015.10.003, (R2RAM)
The forming process, which corresponds to the activation of the switching filament in Resistive Random Access Memory (RRAM) arrays, has a strong impact on the cells’ performances. In this paper we characterize and compare different pulse forming techniques in terms of forming time, yield and cell-to-cell variability on 4 kbits RRAM arrays. Moreover, post-forming modeling during Reset operation of correctly working and over formed cells has been performed. An incremental form and verify technique, based on a sequence of trapezoidal waveforms with increasing voltages followed by a verify operation that terminates when the expected switching behavior has been achieved, showed the best results. This procedure narrows the post-forming current distribution whereas reducing the Reset switching voltage and the operative current. These advantages materialize in a better control of the cell-to-cell variability and in an overall time and energy saving at the system level.

(11) Growth and Evolution of Nickel Germanide Nanostructures on Ge(001)
T. Grzela, G. Capellini, W. Koczorowski, M.A. Schubert, R. Czajka, N.J. Curson, I. Heidmann, Th. Schmidt, J. Falta, T. Schroeder
Nanotechnology 26, 385701 (2015)
(Ge Nanoheteroepitaxy)
Nickel germanide is deemed an excellent material system for low-resistance contact formation to future Ge device modules integrated into mainstream, Si-based integrated circuit technologies. In this study, we present a multi-technique experimental study on the formation processes of nickel germanides on Ge(001). We demonstrate that room temperature deposition of about 1 nm of Ni on Ge(001) is realized in Volmer Weber growth mode. Subsequent thermal annealing results first in the formation of a continuous NixGey wetting layer featuring a well-defined terrace morphology. Upon increasing the annealing temperature to 300 °C, we observed the onset of a de-wetting process, characterized by the appearance of voids on the NixGey terraces. Annealing above 300 °C enhances this de-wetting process and the surface evolves gradually towards the formation of well-ordered, rectangular NixGey 3D nanostructures. Annealing up to 500 °C induces an Ostwald ripening phenomenon, with smaller nanoislands disappearing and larger ones increasing their size. Subsequent annealing to higher temperatures drives the Ni germanide diffusion into the bulk and the consequent formation of highly ordered, {111} faceted NiGe nanocrystals featuring an epitaxial relationship with the substrate NiGe (101); (010) || Ge(001); (110).

(12) Comparative Study of Thermal Evolution of Co and Ni Germanide Nanostructures on Ge(001)
T. Grzela, G. Capellini, M.A. Schubert, W. Koczorowski, R. Czajka, N.J. Curson, T. Schmidt, J. Falta, T. Schroeder
Proc. of the 7th International Conference on Scanning Probe Spectroscopy and Related Methods (SPS'15), book of abstr. 28 (2015)
(Ge Nanoheteroepitaxy)

(13) Thermal Evolution of Co and Ni Germanide Nanostructures on Ge(001): Comparative STM, LEED, TEM-EDX & XPS Study
T. Grzela, W. Koczorowski, G. Capellini, R. Czajka, N.J. Curson, T. Schroeder
Proc. The 9th International Conference on Silicon Epitaxy and Heterostructures (ICSI 2015), 55 (2015)
(Ge Nanoheteroepitaxy)

(14) 12 GHz CMOS MEMS Lab-on-Chip System for Detection of Concentration of Suspended Particles in Bio-Suspensions
S. Guha, A. Wolf, Ch. Meliani, Ch. Wenger
Proc. International Conference on Biomedical Electronics and Devices (Biodevices 2015), 49 (2015)

(15) Label Free Sensing of Creatinine using a 6 GHz CMOS Near-Field Dielectric Immunosensor
S. Guha, A. Warsinke, Ch.M. Tientcheu, Ch. Meliani, Ch. Wenger
Analyst 140, 3019 (2015)
(Tera-Sens)
In this work we present a CMOS high frequency direct immunosensor operating at 6 GHz (C-band) for
label free determination of creatinine. The sensor is fabricated in standard 0.13 μm SiGe:C BiCMOS
process. The report also demonstrates the ability to immobilize creatinine molecules on a Si3N4 passivation
layer of the standard BiCMOS/CMOS process, therefore, evading any further need of cumbersome
post processing of the fabricated sensor chip. The sensor is based on capacitive detection of the amount
of non-creatinine bound antibodies binding to an immobilized creatinine layer on the passivated sensor.
The chip bound antibody amount in turn corresponds indirectly to the creatinine concentration used in
the incubation phase. The determination of creatinine in the concentration range of 0.88–880 μM is successfully
demonstrated in this work. A sensitivity of 35 MHz/10 fold increase in creatinine concentration
(during incubation) at the centre frequency of 6 GHz is gained by the immunosensor. The results are
compared with a standard optical measurement technique and the dynamic range and sensitivity is of the
order of the established optical indication technique. The C-band immunosensor chip comprising an area
of 0.3 mm2 reduces the sensing area considerably, therefore, requiring a sample volume as low as 2 μl.
The small analyte sample volume and label free approach also reduce the experimental costs in addition
to the low fabrication costs offered by the batch fabrication technique of CMOS/BiCMOS process.

(16) Self-Calibrating Highly Sensitive Dynamic Capacitance Sensor: Towards Rapid Sensing and Counting of Particles in Laminar Flow Systems
S. Guha, K. Schmalz, Ch. Wenger, F. Herzel
Analyst 140, 3262 (2015)
(Tera-Sens)
In this report we propose a sensor architecture and a corresponding read-out technique on silicon for the
detection of dynamic capacitance change. This approach can be applied to rapid particle counting and
single particle sensing in a fluidic system. The sensing principle is based on capacitance variation of an
interdigitated electrode (IDE) structure embedded in an oscillator circuit. The capacitance scaling of the
IDE results in frequency modulation of the oscillator. A demodulator architecture is employed to provide
a read-out of the frequency modulation caused by the capacitance change. A self-calibrating technique
is employed at the read-out amplifier stage. The capacitance variation of the IDE due to particle flow
causing frequency modulation and the corresponding demodulator read-out has been analytically modelled.
Experimental verification of the established model and the functionality of the sensor chip were
shown using a modulating capacitor independent of fluidic integration. The initial results show that the
sensor is capable of detecting frequency changes of the order of 100 parts per million (PPM), which translates
to a shift of 1.43 MHz at 14.3 GHz operating frequency. It is also shown that a capacitance change
every 3 μs can be accurately detected.

(17) Integrated High-Frequency Sensors in Catheters for Minimally Invasive Plaque Characterization
S. Guha, D. Wagner, F.I. Jamal, U. Schumann, Ch. Meliani, B. Schmidt, Ch. Wenger, J. Wessel, M. Detert
Proc. 20th European Microelectronics and Packaging Conference and Exhibition (2015)
(PlaqueCharM)

(18) Atomic Layer Deposition of Al2O3 on NF3-Pre-Treated Graphene
M. Junige, T. Oddoy, R. Yakimova, V. Darakchieva, Ch. Wenger, G. Lupina, M. Albert, J.W. Bartha
Proc. SPIE Microtechnologies – Nanotechnology VII, 9519, 951915 (2015)
(DFG-Graphen)

(19) AlN/SiO2/Si3N4/Si(100) based CMOS Compatible Surface Acoustic Wave Filter with -12.8 dB Minimum Insertion Loss
U.Ch. Kaletta, Ch. Wipf, M. Fraschke, D. Wolansky, M.A. Schubert, T. Schroeder, Ch. Wenger
IEEE Transactions on Electron Devices 62(3), 764 (2015)
(Tera-Sens)
A CMOS compatible AlN/SiO2/Si3N4/Si(100) surface acoustic wave (SAW) device has been fabricated and will be compared with standard AlN/SiO2-based devices. The presented filter demonstrates high potential for CMOS integrated high-frequency SAW devices. The filter insertion loss could be improved to −12.8 dB. The device exhibits high crosstalk suppression of −50 dB on a standard Si-substrate (10 cm). X-ray diffraction, (scanning) transmission electron microscopy, and energy dispersive X-ray spectroscopy studies correlate the signal quality with c-axis orientation of aluminum nitride films on interdigitated transducer finger electrodes. Finite-element method simulations are in good agreement with the electric measurements and show typical Rayleigh particle displacement.

(20) Resistive Switching Characteristics of Integrated Polycrystalline Hafnium Oxide Based one Transistor and one Resistor Devices Fabricated by Atomic Vapor Deposition Methods
H.-D. Kim, F. Crupi, M. Lukosius, A. Trusch, Ch. Walczyk, Ch. Wenger
Journal of Vacuum Science and Technology B 33, 052204 (2015)
DOI: 10.1116/1.4928412, (R2RAM)
In this work, bipolar resistive switching (RS) characteristics of polycrystalline hafnium oxide were studied for embedded 1T1R RRAM device applications. The HfO2 films with thickness of 15 nm to 25 nm were grown by the atomic vapor deposition (AVD) method at 400 °C. The HfO2 films were estimated as polycrystalline from the surface topography results by applying atomic force microscopy (AFM) and X-ray diffraction (XRD), and grain size observed in the AFM images increased when increasing thickness of HfO2 films. In addition, current voltage characteristics of the films were investigated to examine the RS characteristics. First, in the forming procedure, we observed the lowest forming voltage for 15 nm thick HfO2 films and the forming voltage gradually increased with increasing the thickness of the HfO2 films. A reproducible resistance switching behavior was observed with resistance ratio of ~20 and dc cycling of 100
times. SET and RESET voltages were measured about 1.2 and 1.6 V, respectively, indicating that the RRAM device can be operated below 2 V. The Current-Voltage characteristics are discussed in the frame of the quantum point contact model.

(21) Oxygen Precipitation in Silicon
G. Kissinger
Defects and Impurities in Silicon Materials, Lecture Notes in Physics, Springer Japan, Chapter 6 (2015)
(Future Silicon Wafers)

(22) Dislocation Generation and Propagation during Flash Lamp Annealing
G. Kissinger, D. Kot, M.A. Schubert, A. Sattler
ECS Journal of Solid State Science and Technology 4(7), 195 (2015)
(Future Silicon Wafers)
Dislocation generation and propagation during flash lamp annealing for 20ms was investigated using wafers with sawed, ground, and etched surfaces. Due to the thermal stress resulting from the temperature profiles generated by the flash pre-existing dislocations propagate into the wafer from both surfaces during flash lamp annealing. A dislocation free zone was observed around 700 µm depth below the surface of a 900 µm thick sawed wafer. The dislocation propagation can be well described by a three-dimensional mechanical model. It was further demonstrated that in wafers being initially free of dislocations no dislocations are generated during flash lamp annealing.

(23) Investigation of the Copper Gettering Mechanism of Oxide Precipitates in Silicon
G. Kissinger, D. Kot, M. Klingsporn, M.A. Schubert, A. Sattler, T. Müller
ECS Journal of Solid State Science and Technology 4(9), N124 (2015)
(Future Silicon Wafers)
One of the reasons why the principal gettering mechanism of copper at oxide precipitates is not yet clarified is that it was not possible to identify the presence and measure the copper concentration in the vicinity of oxide precipitates. To overcome the problem we used a 14.5 nm thick thermal oxide layer as a model system for an oxide precipitate to localize the place where the copper is collected. We also analyzed a plate-like oxide precipitate by EDX and EELS and compared the results with the analysis carried out on the oxide layer. It is demonstrated that both the interface between the oxide precipitate being SiO2 and the silicon matrix and the interface between the thermal oxide and silicon consist of a 2-3 nm thick SiO layer. As the results of these experiments also show that copper segregates at the SiO interface layer of the thermal oxide it is concluded that gettering of copper by oxide precipitates is based on segregation of copper to the SiO interface layer.

(24) About Dislocation and Oxygen Related Luminescence of Silicon around 0.8 eV
M. Kittler, T. Arguirov, M. Reiche, Ch. Krause, D. Mankovics
Physica Status Solidi C 33(8), 1077 (2015)
In conjunction with the two-level model the temperature
behaviour of the dislocation-related D1-peak follows the
T-behaviour of the band gap. Based on luminescence observations
in our laboratory and on literature data we
propose a ~30 meV wide domain of the D1 peak. Altogether,
the D1-peak position ranges between about 0.76
eV at 300 K and nearly 0.84 eV at a few K. It was shown
that the energetic shift for a certain temperature is caused
by external electric fields, by excitation level, that affects
the intrinsic dislocation field, and by elastic stress. The
luminescence of oxygen-related defects / precipitates
might be described by a line at ~ 0.77 eV (P-line) that
does not depend on temperature.

(25) Atomistic Insights into Phosphorus δ-Doping of Germanium
W.M. Klesse, L. McKenzie-Sell, O. Warschkow, G. Capellini, M.Y. Simmons, G. Scappucci
Proc. 7th International Conference on Scanning Probe Spectroscopy and Related Methods (SPS'15), book of abstr. 26 (2015)

(26) Ba Termination of Ge(001) Studied with STM
W. Koczorowski, T. Grzela, M.W. Radny, S.R. Schofield, G. Capellini, R. Czajka, T. Schroeder, N.J. Curson
Nanotechnology 26, 155701 (2015)
(Ge Nanoheteroepitaxy)
We use controlled annealing to tune the interfacial properties of a sub-monolayer and monolayer
coverages of Ba atoms deposited on Ge(001), enabling the generation of either of two
fundamentally distinct interfacial phases, as revealed by scanning tunneling microscopy. Firstly
we identify the two key structural phases associated with this adsorption system, namely on-top
adsorption and surface alloy formation, by performing a deposition and annealing experiment at
a coverage low enough (∼0.15 ML) that isolated Ba-related features can be individually
resolved. Subsequently we investigate the monolayer coverage case, of interest for passivation
schemes of future Ge based devices, for which we find that the thermal evaporation of Ba onto a
Ge(001) surface at room temperature results in on-top adsorption. This separation (lack of
intermixing) between Ba and Ge layers is retained through successive annealing steps to
temperatures of 470, 570, 670 and 770 K although a gradual ordering of the Ba layer is observed
at 570 K and above, accompanied by a decrease in Ba layer density. Annealing above 770 K
produces the 2D surface alloy phase accompanied by strain relief through monolayer height
trench formation. An annealing temperature of 1070 K sees a further change in surface
morphology but retention of the 2D surface alloy characteristic. These results are discussed in
view of their possible implications for future semiconductor integrated circuit technology.

(27) Composition of Oxygen Precipitates in Czochralski Silicon Wafers Investigated by STEM with EDX/EELS and FTIR Spectroscopy
D. Kot, G. Kissinger, M.A. Schubert, M. Klingsporn, A. Huber, A. Sattler
Physica Status Solidi RRL 9(7), 405 (2015)
In this work, we investigated the stoichiometry of oxygen precipitates in Czochralski silicon wafers. The thickness dependence of the Cliff–Lorimer sensitivity factor for the silicon/
oxygen system was determined and applied for the investigation of the stoichiometry of oxygen precipitates by EDX. The results show that both plate-like oxygen precipitates and
a transitional form between plate-like and octahedral precipitates consist of SiO2. This was confirmed by EELS low loss spectra where the typical spectrum for amorphous SiO2 was
observed. Moreover, the absorption band of plate-like precipitates at 1227 cm–1 was found in the low temperature FTIR spectrum. It was demonstrated that this band can only be simulated
by the dielectric constants of amorphous SiO2.

(28) Plasma Enhanced Complete Oxidation of Ultrathin Epitaxial Praseodymia Films on Si(111)
O. Kuschel, F. Dieck, H. Wilkens, S. Gevers, J. Rodewald, Ch. Otte, M.H. Zoellner, G. Niu, T. Schroeder, J. Wollschläger
Materials 8(9), 6379 (2015)
Praseodymia films have been exposed to oxygen plasma at room temperature after deposition on Si(111) via molecular beam epitaxy. Different parameters as film thickness, exposure time and flux during plasma treatment have been varied to study their influence on the oxygen plasma oxidation process. The surface near regions have been investigated by means of X-ray photoelectron spectroscopy showing that the plasma treatment transforms the stoichiometry of the films from Pr2O3 to PrO2. Closer inspection of the bulk properties of the films by means of synchrotron radiation based X-ray reflectometry and diffraction confirms this transformation if the films are thicker than some critical thickness of 6 nm. The layer distance of these films is extremely small verifying the completeness of the plasma oxidation process. Thinner films, however, cannot be transformed completely. For all films, less oxidized very thin interlayers are detected by these experimental techniques.

(29) Dielectrophoretic Immobilization of Proteins: Quantification by Atomic Force Microscopy
E.-M. Laux, X. Knigge, F.F. Bier, Ch. Wenger, R. Hölzel
Electrophoresis 36(17), 2094 (2015)
(Tera-Sens)
The combination of alternating electric fields with nanometer-sized electrodes allows the
permanent immobilization of proteins by dielectrophoretic force. Here, atomic force microscopy
is introduced as a quantification method, and results are compared with fluorescencemicroscopy.
Experimental parameters, for example the applied voltage and duration
of field application, are varied systematically, and the influence on the amount of immobilized
proteins is investigated. A linear correlation to the duration of field application
was found by atomic force microscopy, and both microscopical methods yield a square
dependence of the amount of immobilized proteins on the applied voltage. While fluorescence
microscopy allows real-time imaging, atomic force microscopy reveals immobilized
proteins obscured in fluorescence images due to low S/N. Furthermore, the higher spatial
resolution of the atomic forcemicroscope enables the visualization of the protein distribution
on single nanoelectrodes. The electric field distribution is calculated and compared to
experimental results with very good agreement to atomic force microscopy measurements.

(30) Direct Growth of HfO2 on Graphene by CVD
M. Lukosius, J. Dabrowski, A. Wolff, D. Kaiser, W. Mehr, G. Lupina
Journal of Vacuum Science and Technology B B33, 01A110 (2015)
(Graphen)
Chemical Vapor Deposition (CVD) was applied to grow dielectric HfO2 layers on graphene/SiO2/Si and graphene/TiN/Si reference substrates directly, i.e., without a seed layer or any other functionalization of graphene. It was found that the presence of bilayer and (generally) multilayer graphene islands on nominally monolayer graphene strongly impacts the nucleation and the growth of HfO2. No damage inflicted by the CVD process on the graphene could be detected by Raman spectroscopy. According to X-Ray Diffraction (XRD), the films grown on graphene at 400 °C and having thickness between 5 and 50 nm were polycrystalline. Electrical measurements were performed for MIM capacitors produced by evaporating Au and TiN top electrodes on the HfO2 film. Leakage currents were in the range of 10- 8 A/cm2 at 1 V for 50 nm HfO2 grown on graphene, exceeding by one order of magnitude the currents measured for the reference HfO2/TiN MIM structures. The films grown on graphene have a dielectric constant of 17 with a quality factor of 50.

(31) Residual Metallic Contamination of Transferred Chemical Vapor Deposited Graphene
G. Lupina, J. Kitzmann, I. Costina, M. Lukosius, Ch. Wenger, A. Wolff, S. Vaziri, M. Östling, I. Pasternak, A. Krajewska, W. Strupinski, S. Kataria, A. Gahoi, M.C. Lemme, G. Ruhl, G. Zoth, O. Luxenhofer, W. Mehr
ACS Nano 9, 4667 (2015)
(Graphen)
Integration of graphene with Si microelectronics is very appealing by offering potentially a broad range of new functionalities. New materials to be integrated with Si platform must conform to stringent purity standards. Here, we investigate graphene layers grown on copper foils by chemical vapor deposition and transferred to silicon wafers by wet etch and electrochemical delamination methods with respect to residual sub-monolayer metallic contaminations. Regardless of the transfer method and associated cleaning scheme, time-of-flight secondary ion mass spectrometry and total reflection x-ray fluorescence measurements indicate that the graphene sheets are contaminated with residual metals (copper, iron) with a concentration exceeding 1013 atoms/cm2. These metal impurities appear to be partly mobile upon thermal treatment as shown by depth profiling and reduction of the minority charge carrier diffusion length in the silicon substrate. As residual metallic impurities can significantly alter electronic and electrochemical properties of graphene and can severely impede the process of integration with silicon microelectronics these results reveal that further progress in synthesis, handling, and cleaning of graphene is required on the way to its advanced electronic and optoelectronic applications.

(32) Zero Lattice Mismatch and Truly Single Crystalline ScN Buffer Layers for GaN Growth on Silicon
L. Lupina, M.H. Zoellner, T. Niermann, B. Dietrich, G. Capellini, S.B. Thapa, M. Haeberlen, M. Lehmann, P. Storck, T. Schroeder
Applied Physics Letters 107, 201907 (2015)
(Si-M-Si-Heterostrukturen (Siltronic))
We report the growth of thin ScN layers deposited by plasma-assisted molecular beam epitaxy on Sc2O3/Y2O3/Si(111) substrates. Using x-ray diffraction, Raman spectroscopy, and transmission electron microscopy, we find that ScN films grown at 600 °C are single crystalline, twin-free with rock-salt crystal structure, and exhibit a direct optical band gap of 2.2 eV. A high degree of crystalline perfection and a very good lattice matching between ScN and GaN (misfit<0.1%) makes the ScN/Sc2O3/Y2O3 buffer system a very promising template for the growth of high quality GaN layers on silicon.

(33) Interfacebildung an dünnen Oxidschichten auf Silizium
H.-J. Müssig
Proc. Workshop of the European Society of Thin Films: Morphologie und Mikrostruktur Dünner Schichten und deren Beeinflussung, (2015)

(34) Controlling the Physics and Chemistry of Binary and Ternary Praseodymium and Cerium Oxide Systems
G. Niu, M.H. Zoellner, A. Schaefer, J.-H. Jhang, V. Zielasek, M. Bäumer, H. Wilkens, J. Wollschläger, R. Olbrich, C. Lammers, M. Reichling, T. Schroeder
Physical Chemistry, Chemical Physics (PCCP) 17, 24513 (2015)
Rare earth praseodymium and cerium oxides have attracted intense research interest in the last few decades, due to their intriguing chemical and physical characteristics. An understanding of the correlation between structure and properties, in particular the surface chemistry, is urgently required for their application in microelectronics, catalysis, optics and other fields. Such an understanding is, however, hampered by the complexity of rare earth oxide materials and experimental methods for their characterisation. Here, we report recent progress in studying high-quality, single crystalline, praseodymium and cerium oxide films as well as ternary alloys grown on Si(111) substrates. Using these well-defined systems and based on a systematic multitechnique surface science approach, the corresponding physical and chemical properties, such as the surface structure, the surface morphology, the bulk–surface interaction and the oxygen storage/release capability, are explored in detail. We show that specifically the crystalline structure and the oxygen stoichiometry of the oxide thin films can be well controlled by the film preparation method. This work leads to a comprehensive understanding of the properties of rare earth oxides and highlights the applications of these versatile materials. Furthermore, methanol adsorption studies are performed on binary and ternary rare earth oxide thin films, demonstrating the feasibility of employing such systems for model catalytic studies. Specifically for ceria systems, we find considerable stability against normal environmental conditions so that they can
be considered as a ‘‘materials bridge’’ between surface science models and real catalysts.

(35) Optimized Graphene Growth on Ge(100)/Si(100) Substrates
I. Pasternak, I. Jozwik, M. Lukosius, Y. Yamamoto, A. Przewloka, G. Lupina, W. Strupinski
Proc. Graphita 2015, (2015)

(36) Optimized Graphene Growth on Ge(100)/Si(100) Substrates
I. Pasternak, M. Lukosius, Y. Yamamoto, A. Krajewska, G. Lupina, W. Strupinski
Proc. Graphene 2015, (2015)

(37) On Device Concepts for CMOS-Compatible Edge-Emitters Based on Strained Germanium
D. Peschka, M. Thomas, A. Glitzky, R. Nürnberg, K. Gärtner, M. Virgilio, S. Guha, T. Schroeder, G. Capellini, T. Koprucki
Proc.15th International Conference on Numerical Simulation of Optoelectronic Devices (NUSOD 2015), 121 (2015)

(38) Modeling of Edge-Emitting Lasers Based on Tensile Strained Germanium Microstripes
D. Peschka, M. Thomas, A. Glitzky, R. Nuernberg, K. Gärtner, M. Virgilio, S. Guha, T. Schroeder, G. Capellini, Th. Koprucki
IEEE Photonics Journal 7(3), 1502115 (2015)
In this paper we present a thorough modeling of an edge-emitting laser based on strained germanium micro-stripes. The full band structure of the tensile strained Ge layer enters calculations of the optical properties. The material gain has thus been used in the two-dimensional simulation of the carrier transport and optical field within a cross section of the microstripes orthogonal to the optical cavity. The optoelectronic properties of the device are obtained for two different designs. The simulation results are very promising showing feasible ways towards Ge emitter devices with lower threshold currents and higher efficiency as published insofar.

(39) Strain and Carrier Transport along Dislocations
M. Reiche, M.Kittler E.Pippel, W. Erfurth, A. Haehnel, H. Uebensee
Physica Status Solidi C 12(8), 1071 (2015)
A significant increase of the drain current is verified if defined numbers and types of dislocations are present in the channel of MOSFETs. For pMOSFETs, analysed here, an enhancement by a factor of eight exists if mixed dislocations are placed in the channel. The drain current
increase is caused by higher concentration and higher mobility of holes on dislocations. It is shown that cores of mixed dislocations possess uniaxial compressive strain components in the order of ε ≅ −0.1 which are significantly higher than in the strain field surrounding a dislocation.
The exceptional high uniaxial strain results in dramatic alterations of the silicon band structure. Upward shifts of the upper valence bands appear forming a quantum wire. The generation of the quantum wire forces hole confinement along dislocations and generates a onedimensional
hole gas (1DHG). Confinement and energy quantization are assumed to be most important for the increased carrier transport along dislocations.

(40) Structural Mapping of Functional Ge Layers Grown on Graded SiGe Buffers for sub-10 nm CMOS Applications Using Advanced X-Ray Nanodiffraction
M.-I. Richard, M.H. Zoellner, G.A. Chahine, P. Zaumseil, G. Capellini, M. Häberlen, P. Storck, T.U. Schülli, T. Schroeder
ACS Applied Materials & Interfaces 7, 26696 (2015)
We report a detailed advanced materials characterization study on 40 nm
thick strained germanium (Ge) layers integrated on 300 mm Si(001) wafers via strainrelaxed
silicon−germanium (SiGe) buffer layers. Fast-scanning X-ray microscopy is used
to directly image structural inhomogeneities, lattice tilt, thickness, and strain of a
functional Ge layer down to the sub-micrometer scale with a real space step size of 750
μm. The structural study shows that the metastable Ge layer, pseudomorphically grown
on Si0.3G 0.7, exhibits an average compressive biaxial strain of −1.27%. By applying a scan
area of 100 × 100 μm2, we observe microfluctuations of strain, lattice tilt, and thickness of
ca. ±0.03%, ±0.05°, and ±0.8 nm, respectively. This study confirms the high materials
homogeneity of the compressively strained Ge layer realized by the step-graded SiGe
buffer approach on 300 mm Si wafers. This presents thus a promising materials science
approach for advanced sub-10 nm complementary metal oxide−semiconductor
applications based on strain-engineered Ge transistors to outperform current Si channel
technologies.

(41) Engineered Coalescence by Annealing of 3D Ge Microstructures into High-Quality Suspended Layers on Si
M. Salvalaglio, R. Bergamaschini, F. Isa, A. Scaccabarozzi, G. Isella, R. Backofen, A. Voigt, F. Montalenti, G. Capellini, T. Schröder, H. von Känel, L. Miglio
ACS Applied Materials & Interfaces 7, 19219 (2015)
Fostered by the move from dimensional to functional scaling in microelectronics, germanium has been recently re-investigated as a possible high-mobility replacement for the mainstream silicon MOSFET technology [Pillarisetty2011]. However, the material growth challenges in heterogeneous integration on Si are substantial, because of the 4% lattice misfit: any Ge film on Si will relax through the formation of misfit dislocations, because the critical thickness for such an event is only a few monolayers. To accommodate the lattice mismatch between the two materials, a thick SixGe1−x graded layer can be grown between the silicon substrate and the active germanium layer, where defect density is significantly reduced, still not eliminated [Currie1998]. To mitigate this problem, several alternatives have been investigated. In particular, the aspect-ratio-trapping process for growing Ge and SiGe buffer layers within narrow oxide trenches, patterned on silicon substrates at sub-micron distances [Park2007, Wang2010] and, very recently, the use of composite AlAs/GaAs buffer layers [Hudait2014]. Here we change the approach by simulation-driven experiments, aimed at obtaining high-quality suspended Ge films on Si pillars from an array of perfect Ge crystals through their temperature-driven coalescence.

(42) Bottom-up Assembly of Metallic Germanium
G. Scappucci, W.M. Klesse, L.A. Yeoh, D.J. Carter, O. Warschkow, N.A. Marks, D.L. Jaeger, G. Capellini, M.Y. Simmons, A.R. Hamilton
Scientific Reports 5, 12948 (2015)
Extending chip performance beyond current limits of miniaturisation requires new materials and functionalities that integrate well with the silicon platform. Germanium meets these requirements and has been proposed as a high-mobility channel material, a light emitting medium in silicon-integrated lasers, and a plasmonic conductor for bio-sensing.Common to these diverse applications is the need for homogenous, high electron densities in three-dimensions (3D).
Here we use a bottom-up approach to demonstrate the 3D assembly of atomically sharp doping pro les in germanium by a repeated stacking of two-dimensional (2D) high-density phosphorus layers. This produces high-density (1019 to 1020 cm-3) low-resistivity (10-4 cm) metallic germanium of precisely de ned thickness, beyond the capabilities of di usion-based doping technologies.We demonstrate that free electrons from distinct 2D dopant layers coalesce into a homogenous 3D conductor using anisotropic quantum interference measurements, atom probe tomography, and density functional theory.

(43) Hexagonal LaLuO3 as High-k Dielectric
A. Schaefer, F. Wendt, S. Mantl, H. Hardtdegen, M. Mikulics, J. Schubert, M. Luysberg, A. Besmehn, G. Niu, T. Schroeder
Journal of Vacuum Science and Technology B 33, 01A104 (2015)
Among the different polymorphs of LaLuO3 the hexagonal one is the least explored. Therefore, in this work, hexagonal LaLuO3 is grown and investigated in more detail. Two different growth templates are presented, offering the possibility to stabilize this hexagonal phase: Y2O3 on Si (111) and GaN on Al2O3 (0001). The LaLuO3 layers show smooth surfaces and high crystallinity for both types of templates. Spectroscopic characterization reveals a wide bandgap Eg of 5.6 eV and capacitance voltage measurements display a relative permittivity j of 26, which makes hexagonal LaLuO3 a promising candidate as a future gate dielectric for devices based on hexagonal semiconductors such as GaN.

(44) Polymorphous GdScO3 as High Permittivity Dielectric
A. Schäfer, K. Rahmanizadeh, G. Bihlmayer, M. Luysberg, F. Wendt, A. Besmehn, A. Fox, M. Schnee, G. Niu, T. Schroeder, S. Mantl, H. Hardtdegen, M. Mikulics, J. Schubert
Journal of Alloys and Compounds 651, 514 (2015)
Four different polymorphs of GdScO3 are assessed theoretically and experimentally with respect to their suitability as a dielectric. The calculations carried out by density functional theory reveal lattice constants, band gaps and the energies of formation of three crystal phases. Experimentally all three crystal phases and the amorphous phase can be realized as thin films by pulsed laser deposition using various growth templates. Their respective crystal structures are confirmed by X-ray diffraction and transmission electron microscopy reflecting the calculated lattice constants. X-ray photoelectron spectroscopy unveils the band gaps of the different polymorphs of GdScO3 which are above 5 eV for all films demonstrating good insulating properties. From capacitance voltage measurements, high permittivities of up to 27 for
hexagonal GdScO3 are deduced.

(45) Magnetic Anisotropy of Strained Magnetite Thin Films on MgO(001)
T. Schemme, N. Pathe, K. Kuepper, G. Niu, F. Bertram, T. Kuschel, J. Wollschläger
Materials Research Express 2, 016101 (2015)
Iron oxide films with different thicknesses (7.6–30 nm) were grown on clean MgO(001) substrates
using reactive molecular beam epitaxy at 250 °C depositing Fe in a 5 × 10−5 mbar oxygen atmosphere.
X-ray photoelectron spectra and low energy electron diffraction experiments indicate the stoichiometry
and the surface structure of magnetite (Fe3O4). Film thicknesses and the lattice constants were
analyzed ex situ by x-ray reflectometry and x-ray diffraction, respectively. These experiments reveal
the single crystalline and epitactic state of the iron oxide films. However, the obtained vertical layer
distances are too small to be strained magnetite and would rather suit to maghemite. Although Raman
spectroscopy carried out to analyze the present iron oxide phase showed that the films might have
slightly been oxidized in ambient conditions, a posteriori performed XPS measurements exclude a
strong oxidation of the surface. Therefore we consider the presence of anti phase boundaries to
explain the low vertical layer distances of the magnetite films. Further magnetooptic Kerr measurements
were performed to investigate the magnetic properties. While the thinnest film shows a magnetic
isotropic behavior, the thicker films exhibit a fourfold magnetic in-plane anisotropy. The
magnetic easy axes are in the Fe3O4〈110〉directions.We propose that themagnetocrystalline anisotropy
is too weak for very thin iron oxide films to form fourfold anisotropy related to the cubic crystal
structure.

(46) Electroluminescence of GeSn/Ge MQW LEDs on Si Substrate
B. Schwartz, M. Oehme, K. Kostecki, D. Widmann, M. Gollhofer, R. Koerner, S. Bechler, I.A. Fischer, T. Wendav, E. Kasper, J. Schulze, M. Kittler
Optics Letters 40(13) , 3209 (2015)
Multi-quantum well light-emitting diodes, consisting of ten alternating GeSn/Ge-layers, were grown by molecular beam epitaxy on Si. The Ge barriers were 10 nm thick, and the
GeSn wells were grown with 7% Sn and thicknesses between 6 and 12 nm. The electroluminescence spectra measured at 300 and 80 K yield a broad and intensive
luminescence band. Deconvolution revealed three major lines produced by the GeSn wells that can be interpreted in terms of quantum confinement. We interpret that the three lines represent two direct lines, formed by transitions with the light and heavy hole band, respectively, and an
indirect line. Biaxial compressive strain causes a splitting of light and heavy holes in the GeSn wells. This interpretation is supported by an effective mass band structure calculation.

(47) Lateral Solid Phase Epitaxy of Amorphously Grown Si1 − xGex Layers on SiO2/Si(100) Substrates using In-Situ RPCVD Postannealing
O. Skibitzki, Y. Yamamoto, M.A. Schubert, B. Tillack
Thin Solid Films 593, 91(2015)
Lateral solid phase epitaxy (L-SPE) of non-doped or in-situ B-doped amorphous- (a-) SiGe with a-Si buffer deposited on SiO2 patterned Si(100) wafers by H2 postannealing in reduced pressure chemical vapor deposition system was investigated for possible heterojunction bipolar transistor (HBT) base link resistivity improvement. By using Si2H6 as Si precursor, epitaxial layer and amorphous layer were grown in mask window and on SiO2, respectively. By inserting a-Si buffer, the deposited a-SiGe surface became smoother. After L-SPE process, improved L-SPE length was observed due to suppressed random nucleation on SiO2. The L-SPE length increased with increasing postannealing time and saturated due to random poly-grain formation on the SiO2. At the same L-SPE time, increased L-SPE length was observed at higher temperature and at higher Ge concentration. With increasing B concentration in the a-SiGe, the L-SPE length increased until B concentration of 2x1019 atom/cm3, then deteriorated. These results of L-SPE process might have potential to improve dynamic performance of SiGe HBT by reducing base link resistivity by widening the monocrystalline region around bipolar window.

(48) Grain Boundary Segregation in Multicrystalline Silicon: a Correlative Study using EBSD, EBIC and Atom Probe Tomography
A. Stoffers, O. Cojocaru-Miredin, W. Seifert, S. Zaefferer, S. Riepe, D. Raabe
Progress in Photovoltaics: Research and Applications 23, 1742 (2015)
(SolarWinS)
This study aims to better understand the influence of crystallographic structure and impurity decoration on the recombination activity at grain boundaries in multicrystalline silicon. A sample of the upper part of a multicrystalline silicon ingot with intentional addition of iron and copper has been investigated. Correlative electron-beam-induced current, electron backscatter diffraction, and atom probe tomography data for different types of grain boundaries are presented. For a symmetric coherent Σ3 twin boundary, with very low recombination activity, no impurities are detected. In case of a noncoherent (random) high-angle grain boundary and higher order twins with pronounced recombination activity, carbon and oxygen impurities are observed to decorate the interface. Copper contamination is detected for the boundary with the highest recombination activity in this study, a random high-angle grain boundary located in the vicinity of a triple junction. The 3D atom probe tomography study presented here is the first direct atomic scale identification and quantification of impurities decorating grain boundaries in multicrystalline silicon. The observed deviations in chemical decoration and induced current could be directly linked with different crystallographic structures of silicon grain boundaries. Hence, the current
work establishes a direct correlation between grain boundary structure, atomic scale segregation information, and electrical activity. It can help to identify interface–property relationships for silicon interfaces that enable grain boundary engineering in multicrystalline silicon.

(49) Non-Uniform Depth Distributions of Sn Concentration Induced by Sn Migration and Desorption during GeSnSi Layer Formation
N. Taoka, T. Asano, T. Yamaha, T. Terashima, O. Nakatsuka, I. Costina, P. Zaumseil, G. Capellini, S. Zaima, T. Schroeder
Applied Physics Letters 106, 061107 (2015)
The distributions of Sn concentration in GeSnSi layers formed on Ge substrate at various
temperatures were investigated. High deposition temperature (Td) induces significant Sn migration and desorption, which have activation energies of 0.75 eV and 0.27 eV, respectively. A model quantitatively clarified the Sn migration fluxes during the deposition, which increase not only with increasing Td but also with the layer thickness. A non-negligible Sn flux compared with the supplied flux was found at 350 °C at the surface of the 200-nm-thick layer. Consequently, designs of layer thickness and Td taking into account the appropriate Sn flux are important to form a GeSnSi layer with uniform Sn content for future optoelectronics.

(50) High Quality GeSn Layer Formation Due to Well-controlled Sn Migration at High Temperature
N. Taoka, G. Capellini, P. Zaumseil, I. Costina, M.A. Schubert, T. Schroeder
Proc. International Conference on Solid State Devices and Materials (SSDM 2015), 896 (2015)

(51) Sn Migration Control Based on Quantitative Prediction Using Simple Model for Aiming Sn-related Crystal Formation with Device Quality
N. Taoka, T. Asano, T. Yamaha, T. Terashima, O. Nakatsuka, I. Costina, G. Capellini, S. Zaima, T. Schroeder
Proc. JSPS International Workshop Core-to-Core Program Atomically Controlled Processing for Ultra-large Scale Integration, abstr. book (2015)

(52) Bilayer Insulator Tunnel Barriers for Graphene Based Vertical Hot-Electron Devices
S. Vaziri, M. Belete, E. Dentoni Litta, A.D. Smith, G. Lupina, M.C. Lemme, M. Östling
Nanoscale 7, 13096 (2015)
(Graphen)
Vertical graphene-based device concepts that rely on quantum mechanical tunneling are intensely being discussed in the literature for applications in electronics and optoelectronics. In this work, the carrier transport mechanisms in semiconductor–insulator–graphene (SIG) capacitors are investigated with respect to their suitability as electron emitters in vertical graphene base transistors (GBTs). Several dielectric materials as tunnel barriers are compared, including dielectric double layers. Using bilayer dielectrics, we experimentally demonstrate significant improvements in the electron injection current by promoting Fowler–Nordheim tunneling (FNT) and step tunneling (ST) while suppressing defect mediated carrier
transport. High injected tunneling current densities approaching 103 A cm−2 (limited by series resistance), and excellent current–voltage nonlinearity and asymmetry are achieved using a 1 nm thick high quality dielectric, thulium silicate (TmSiO), as the first insulator layer, and titanium dioxide (TiO2) as a high electron affinity second layer insulator. We also confirm the feasibility and effectiveness of our approach in a full GBT structure which shows dramatic improvement in the collector on-state current density with respect to the previously reported GBTs. The device design and the fabrication scheme have been selected with future CMOS process compatibility in mind. This work proposes a bilayer tunnel barrier approach as a promising candidate to be used in high performance vertical graphene-based tunneling devices.

(53) Going Ballistic: Graphene Hot Electron Transistors
S. Vaziri, M. Östling, G. Lupina, J. Dabrowski, G. Lippert, F. Driussi, S. Venica, V. Di Lecce, A. Gnudi, M. König, G. Ruhl, M. Belete, M.C. Lemme
Solid State Communications 224, 64 (2015)
(Graphen)
This pape rreviews the experimental and theoretical state of the art in ballistic hot electron transistors that utilize two-dimensional base contacts made from graphene, i.e. graphene base transistors(GBTs). Early performance predictions that indicated potential for THz operation still hold true today, evenwith improved models that take non-idealities into account. Experimental results clearly demonstrate the basic functionality, withon /off curren tswitching over several orders of magnitude, but further developments are required to exploit the full potential of the GBT device family. Inparticular, interfaces between graphene and semiconductors or dielectrics are far from perfect and thus limit experimental device integrity, reliability and performance.

(54) Step Tunneling-Enhanced Hot-Electron Injection in Vertical Graphene Base Transistors
S. Vaziri, M. Belete, A.D. Smith, E. Dentoni Litta, G. Lupina, M.C. Lemme, M. Ostling
Proc. European Solid-State Device Research Conference (ESSDERC 2015), 198 (2015)
(Graphen)

(55) Radiative and Non-Radiative Recombinations in Tensile Strained Ge Microstrips: Photoluminescence Experiments and Modeling
M. Virgilio, T. Schroeder, Y. Yamamoto, G. Capellini
Journal of Applied Physics 118, 233110 (2015)
Tensile germanium microstrips are candidate as gain material in Si-based light emitting devices
due to the beneficial effect of the strain field on the radiative recombination rate. In this work, we
thoroughly investigate their radiative recombination spectra by means of micro-photoluminescence experiments at different temperatures and excitation powers carried out on samples featuring different tensile strain values. For sake of comparison, bulk Ge(001) photoluminescence is also discussed. The experimental findings are interpreted in light of a numerical modeling based on a multi-valley effective mass approach, taking in to account the depth dependence of the photoinduced carrier density and of the self-absorption effect. The theoretical modeling allowed us to quantitatively describe the observed increase of the photoluminescence intensity for increasing values of strain, excitation power, and temperature. The temperature dependence of the non-radiative recombination time in this material has been inferred thanks to the model calibration procedure.

(56) CMOS-Compatible Optical Switching Concept Based on Strain-Induced Refractive-Index Tuning
M. Virgilio, B.Witzigmann, G. Bolognini, S. Guha, T. Schroeder, G. Capellini
Optics Express 23, 5930 (2015)
In this paper we present a planar light modulator based on electrically induced strain variation for a CMOS compatible photonic platform. It consists of a Mach-Zehnder Interferometer with Ge waveguides topped by a piezoelectric stressor. The stressor can be operated in order to dynamically tune the strain into the two interferometric arms. The strain modifies the Ge band structure and can induce a refractive index variations  up to 0.05. We demonstrate that this approach enables ultra-compact devices (<10 µm2) featuring low loss propagation for light wavelengths below the waveguide band gap energy, high extinction ratios (>30dB) and low intrinsic insertion losses (2dB). The operation wavelength can be extended in the whole FIR spectrum by using SiGe(Sn) alloy waveguides.

(57) Label-Free SERS Selective Detection of Dopamine and Serotonin Using Graphene-Au Nanopyramid Heterostructure
P. Wang, M. Xia, O. Liang, K. Sun A.F. Cipriano, T. Schroeder, H. Liu, Y.-H. Xie
ACS Analytical Chemistry 87, 10255 (2015)
(Heteroepitaxy)
Ultrasensitive detection and spatially resolved mapping of neurotransmitters, dopamine and serotonin, are critical to facilitate understanding brain functions and investigate the information processing in neural networks. In this work, we demonstrated single molecule detection of
dopamine and serotonin using a graphene−Au nanopyramid heterostructure platform. The quasi-periodic Au structure boosts high-density and high-homogeneity hotspots resulting in ultrahigh sensitivity with a surface enhanced Raman spectroscopic (SERS) enhancement factor ∼1010. A single layer graphene superimposed on a Au structure not only can locate SERS hot spots but also modify the surface chemistry to realize selective enhancement Raman yield. Dopamine and serotonin could be detected and distinguished from each other at 10−10 M level in 1 s data acquisition time without any pretreatment and labeling process. Moreover, the heterostructure realized nanomolar detection of neurotransmitters in the presence of simulated body fluids. These findings represent a step forward in enabling in-depth studies of neurological processes including those closely related to brain activity mapping (BAM).

(58) Entwicklung einer neuartigen nichtflüchtigen Speichertechnologie für zukünftige Automobilanwendungen
Ch. Wenger, E. Hildebrandt, S. Vogel, S.U. Sharath, L. Alff
Proc. MikroSystemTechnik-Kongress 2015 (MST 2015), 346 (2015)
(Panache)

(59) Graphene Based Electron Field Emitter
Ch. Wenger, J. Kitzmann, A. Wolff, M. Fraschke, Ch. Walczyk, G. Lupina, W. Mehr, M. Junige, M. Albert, J.W. Bartha
Journal of Vacuum Science and Technology B 33, 01A109 (2015)
(DFG-Graphen)
Graphene based electron field emitter arrays consisting of cone-shaped silicon tips, a thin Al2O3 tunnel barrier and Graphene top electrode are fabricated. Due to the monolayered Graphene top electrode, the electrons are able to tunnel through the Al2O3 layer and emit into the vacuum. The temperature behaviour of the tunnel leakage current as well as the emission current is characterized.

(60) Post Deposition Annealing of Epitaxial Ce1-xPrxO2-δ Films Grown on Si(111)
H. Wilkens, W. Spieß, M.H. Zoellner, G. Niu, T. Schroeder, J. Wollschläger
Physical Chemistry, Chemical Physics (PCCP) 17, 9991 (2015)
In this work the structural and morphological changes of Ce1xPrxO2d (x = 0.20, 0.35 and 0.75) films grown on Si(111) due to post deposition annealing are investigated by low energy electron diffraction combined with a spot profile analysis. The surface of the oxide films exhibit mosaics with large terraces separated by monoatomic steps. It is shown that the Ce/Pr ratio and post deposition annealing temperature can be used to tune the mosaic spread, terrace size and step height of the grains. The morphological changes are accompanied by a phase transition from a fluorite type lattice to a bixbyite structure. Furthermore, at high PDA temperatures a silicate formation via a polycrystalline intermediate
state is observed.

(61) Ternary and Quaternary Ni(Si)Ge(Sn) Contact Formation for Highly Strained Ge p- and n-MOSFETs
S. Wirths, R. Troitsch, G. Mussler, J.-M. Hartmann, P. Zaumseil, T. Schroeder, S. Mantl, D. Buca
Semiconductor Science and Technology 30, 055003 (2015)
The formation of new ternary NiGeSn and quaternary NiSiGeSn alloys has been investigated to
fabricate metallic contacts on high Sn content, potentially direct bandgap group IV
semiconductors. (Si)GeSn layers were pseudomorphically grown on Ge buffered Si(001) by
reduced pressure chemical vapor deposition. Ni, i.e. the metal of choice for source/drain
metallization in Si nanoelectronics, is employed for the stano-(silicon)-germanidation of highly
strained (Si)GeSn alloys. We show that NiGeSn on GeSn layers change phase from welloriented
Ni5(GeSn)3 to poly-crystalline Ni1(GeSn)1 at very low annealing temperatures. A large
range of GeSn compositions with Sn concentrations up to 12 at.%, and SiGeSn ternaries with
large Si and Sn compositions from 18%/3% to 4%/11% are investigated. In addition, the sheet
resistance, of importance for electronic or optoelectronic device contacts, is quantified. The
incorporation of Si extends the thermal stability of the resulting low resistive quaternary phase
compared to their NiGeSn counterparts.

(62) Spectroscopic Signatures of AA' and AB Stacking of Chemical Vapor Deposited Bilayer MoS2
M. Xia, B. Li, K. Yin, G. Capellini, G. Niu, Y. Gong, W. Zhou, P.M. Ajayan, Y.-H. Xie
ACS Nano 9(12), 12246 (2015)
Prominent resonance Raman and photoluminescence spectroscopic differences between AA' and AB stacked bilayer molybdenum disulfide (MoS2) grown by chemical vapor deposition are reported. Bilayer MoS2 islands consisting of the two stacking orders were obtained under identical growth conditions. Resonance Raman and photoluminescence spectra of AA' and AB stacked bilayer MoS2 were obtained on Au nanopyramid surfaces under strong plasmon resonance. Both resonance Raman and photoluminescence spectra show distinct features indicating clear differences in interlayer interaction between these two phases. The implication of these findings on device applications based on spin and valley degrees of freedom will be discussed.

(63) Engineering Interface-Type Resistive Switching in BiFeO3 Thin Film Switches by Ti Implantation of Bottom Electrodes
T. You, X. Ou, G. Niu, F. Bärwolf, G. Li, N. Du, D. Bürger, I. Skorupa, W. Yu, X. Wang, O.G. Schmidt, H. Schmidt
Scientific Reports 5, 18623 (2015)
BiFeO3 based MIM structures with Ti-implanted Pt bottom electrodes and Au top electrodes have been fabricated on Sapphire substrates. The resulting metal-insulator-metal (MIM) structures show bipolar resistive switching without an electroforming process. It is evidenced that during the BiFeO3 thin film growth Ti diffuses into the BiFeO3 layer. The diffused Ti effectively traps and releases oxygen vacancies and consequently stabilizes the resistive switching in BiFeO3 MIM structures. Therefore, using Ti implantation of the bottom electrode, the retention performance can be greatly improved with increasing Ti fluence. For the used raster-scanned Ti implantation the lateral Ti distribution is not homogeneous enough and endurance slightly degrades with Ti fluence. The local resistive switching investigated by current sensing atomic force microscopy suggests the capability of down-scaling the resistive switching cell to one BiFeO3 grain size by local Ti implantation of the bottom electrode.

(64) Growth and Applications of GeSn-Related Group-IV Semiconductor Materials
S. Zaima, O. Nakatsuka, N. Taoka, M. Kurosawa, W. Takeuchi, M. Sakashita
Science and Technology of Advanced Materials 16, 043205 (2015)
We review the technology of Ge1−xSnx-related group-IV semiconductor materials for developing
Si-based nanoelectronics. Ge1−xSnx-related materials provide novel engineering of the crystal
growth, strain structure, and energy band alignment for realising various applications not only in
electronics, but also in optoelectronics. We introduce our recent achievements in the crystal
growth of Ge1−xSnx-related material thin films and the studies of the electronic properties of thin
films, metals/Ge1−xSnx, and insulators/Ge1−xSnx interfaces. We also review recent studies related
to the crystal growth, energy band engineering, and device applications of Ge1−xSnx-related
materials, as well as the reported performances of electronic devices using Ge1−xSnx related
materials.

(65) RRAM Reliability and Performance Characterization Through Array Architectures Investigations
C. Zambelli, A. Grossi, P. Olivo, Ch. Walczyk, Ch. Wenger
Proc. IEEE Computer Society Annual Symposium on VLSI (ISVLSI), 327 (2015)
DOI: 10.1109/ISVLSI.2015.17, (R2RAM)

(66) Tailoring the Strain in Si Nano-Structures for Defect-Free Epitaxial Ge over Growth
P. Zaumseil, Y. Yamamoto, M.A. Schubert, G. Capellini, O. Skibitzki, M.H. Zoellner, T. Schroeder
Nanotechnology 26(35), 355707 (2015)
(Ge Nanoheteroepitaxy)
We investigate the structural properties and strain state of Ge nano-structures selectively grown on Si pillars of about 60 nm diameter with different SiGe buffer layers. A matrix of TEOS SiO2 surrounding the Si nano-pillars causes a tensile strain in the top part at growth temperature of the buffer that reduces the misfit and supports a defect-free initial growth. Elastic relaxation plays the dominating role in the further increase of buffer thickness and following Ge deposition. This method leads to Ge nanostructures on Si that are free of misfit dislocations and other structural defects, which is not the case for direct Ge deposition on these pillar structures. The Ge content of the SiGe buffer is thereby not a critical parameter; it may vary over a relatively wide range.

(67) SiGe Mediated Misfit Dislocation Free Epitaxial Growth of Ge on Nano-Structured Si Pillars
P. Zaumseil, Y. Yamamoto, M.A. Schubert, G. Capellini, M.H. Zoellner, O. Skibitzki, T. Schroeder
Proc. 9th International Conference on Silicon Epitaxy and Heterostructures (ICSI 9), 23 (2015)
(Ge Nanoheteroepitaxy)

(68) Accessing the Structural and Compositional Homogeneity of Strained Ge on SiGe Virtual Substrates by Scanning X-Ray Diffraction Microscopy
M.H. Zoellner, G. Chahine, M.-I. Richard, P. Zaumseil, Ch. Reich, M. Häberlen, G. Capellini, P. Storck, T.U. Schülli, T. Schroeder
Proc. International Conference on Silicon Epitaxy and Heterostructures (ICSI-2015), 37 (2015)

(69) Imaging Structure and Composition Homogeneity of 300 mm SiGe Virtual Substrates for Advanced CMOS Applications by Scanning X-ray Diffraction Microscopy
M.H. Zoellner, M.-I. Richard, G. Chahine, P. Zaumseil, Ch. Reich, G. Capellini, F. Montalenti, A. Marzegalli, Y.H. Xie, T.U. Schülli, M. Häberlen, P. Storck, T. Schroeder
ACS Applied Materials & Interfaces 7, 9031 (2015)
Advanced semiconductor heterostructures are at the very heart of many modern
technologies, including aggressively scaled complementary metal oxide semiconductor transistors for high performance computing and laser diodes for low power solid state lighting applications. The control of structural and compositional homogeneity of these semiconductor heterostructures is the key to success to further develop these state-of-the-art technologies. In this article, we report on the lateral distribution of tilt, composition, and strain across step-graded SiGe strain relaxed buffer layers on 300 mm Si(001) wafers treated with and without chemical−mechanical polishing. By using the advanced synchrotron based scanning X-ray diffraction microscopy technique K-Map together with micro-Raman spectroscopy
and Atomic Force Microscopy, we are able to establish a partial correlation between real space morphology and structural properties of the sample resolved at the micrometer scale. In particular, we demonstrate that the lattice plane bending of the commonly observed cross-hatch pattern is caused by dislocations. Our results show a strong local correlation between the strain
field and composition distribution, indicating that the adatom surface diffusion during growth is driven by strain field fluctuations induced by the underlying dislocation network. Finally, it is revealed that a superficial chemical−mechanical polishing of crosshatched
surfaces does not lead to any significant change of tilt, composition, and strain variation compared to that of as-grown samples.

Die Website ist für moderne Browser konzipiert. Bitte verwenden Sie einen aktuellen Browser.