Publikationen 2017

Script list Publications

(1) The Impact of Donors on Recombination Mechanisms in Heavily Doped Ge/Si Layers
M.R. Barget, M. Virgilio, G. Capellini, Y. Yamamoto, T. Schroeder
Journal of Applied Physics 121, 245701 (2017)
(Ge Laser)
Heavy n-type doping has been proposed as a route to achieve positive optical gain in germanium (Ge) layers since it is supposed to enhance the c carrier density. Nevertheless, the impact of doping on the excess carrier lifetime has not yet been addressed in the literature. To elucidate this point we investigate the optical properties of heavily doped Ge layers selectively grown on silicon by means of photoluminescence (PL) experiments and theoretical modeling. A self-consistent multi-valley effective mass numerical model for simulation of PL spectra has been implemented, taking into account the influence of dopants on the non-radiative recombination dynamics. Upon comparing measurements and modeling, we find that the Shockley-Read-Hall (SRH) mechanism dominates Auger recombination up to a donor density of ~5×1019 cm-3. Furthermore, we propose a linear dependence of the defect-related recombination rate as a function of the donor density. We find a reduction of the non-radiative lifetime from about 30 ns in the intrinsic case to ~0.3 ns for a doping density in the 1019 cm-3 range, accompanied by a drop of two orders of magnitude in the excess carrier density. Despite this reduced lifetime, we observe an overall positive impact of doping on the radiative recombination rate for donor densities up to an “ideal” value of ~3×1019 cm-3, with a 7× intensity enhancement compared to the intrinsic case. A further increase of the donor concentration brings about a worsening of the optical emission.

(2) Growth and Characterization of Graphene on Germanium
A.P. Becker, M. Lukosius, J. Dabrowski, T. Schroeder, W.M. Klesse
Proc. 13th International Workshop on Advanced Nanomaterials (IWAN 2017), abstr. book, 16 (2017)
Graphene, a single-layer of sp 2 -bonded carbon with a honeycomb lattice, has properties promising for
applications in CMOS-compatible microelectronics, such as radiofrequency electronics, plasmonic sensors,
and optoelectronics.
High-quality growth is still one of the key challenges for its technological integration. Silicon readily forms
carbide and metal substrates can introduce contamination [1,2] even after transfer of the graphene sheet;
therefore it is germanium that emerged as a promising front-end-of-line compatible substrate. Wafer-scale
CVD growth of graphene on Ge(001) and Ge(110) has been reported [3,4,5], and at IHP, growth of
gr/Ge/Si(001) on 200 mm wafers is established. Although the Raman spectra of the wafer-scale graphene
grown at IHP exhibit negligible defects signatures, its carrier mobility is only 500 cm ²/(V ⋅ s). Therefore,
atomic-scale characterization with scanning tunnelling microscopy (STM) may give better insight into the
defect density. Unfortunately, ambient storage may be responsible for the aging effect we observed with
STM and SEM. Thus, in-situ growth and characterization would be desirable to investigate the reason for the
low carrier mobility, and to conduct further growth studies that we expect to eventually help optimize our
wafer-scale graphene growth process.
Recently, we have built up a new custom-designed surface science cluster featuring XPS, UPS, ARPES,
LEED, and STM, multiple evaporators, and a high-pressure cell for CVD. I will present the new laboratory
and proof-of-concept graphene growth on bulk Ge(001) and Ge(110), see Figure 1. These initial experiments
demonstrate successful graphene growth, albeit still with low quality, and reveal which upgrades will be
necessary to fully exploit the potential of the setup.
Figure 1 (a) STM image and (b) Raman spectrum of first graphene on Ge(001) grown in the new surface science cluster.
References:
[1] G. Lupina et al., ACS Nano, vol. 9, no. 5, pp. 4776–4785, May 2015.
[2] A. Ambrosi and M. Pumera, Nanoscale, vol. 6, no. 1, pp. 472–476, 2014.
[3] J.-H. Lee et al., Science, vol. 344, no. 6181, pp. 286–289, Apr. 2014.
[4] M. Lukosius et al., vol. 8, no. 49, pp. 33786–33793, Dec. 2016.
[5] M. Lukosius et al., ECS Transactions, vol. 75, no. 8, pp. 533–540, Sep. 2016.

(3) A NIR-LED Based on Tensile Strained, Heavily Doped Ge/Si µ-Strips Fabricated in a BiCMOS Pilot Line
G. Capellini, St. Lischke, L.-W. Nien, J. Kreissl, Y. Yamamoto, M. Virgilio, J. Schäffner, W.M. Klesse, D. Wolansky, K. Voigt, L. Zimmermann, A. Mai, B. Tillack, T. Schroeder
Proc. IEEE International Conference on Group IV Photonics (GFP 2017), 43 (2017)
(Ge Laser)
We present an edge light emitting diode based on tensile strained, highly doped Ge µ-strips deposited on Si. The device is fully manufactured in a BiCMOS pilot line and shows room temperature NIR electroluminescence in a spectral region extending from the C- to the U- telecom bands and beyond.

(4) Preface: Insulating Films on Semiconductors (INFOS 2017)
J. Dabrowski, Ch. Wenger, G. Niu
Microelectronic Engineering 178, A1 (2017)

(5) Decoupling of Graphene from Ni(111) via Oxygen Intercalation
Y. Dedkov, W.M. Klesse, A.P. Becker, F. Späth, Ch. Papp, E. Voloshina
Carbon 121, 10 (2017)

(6) Towards GaN Integration on Si: Microstructural Study of ScN Grown on Si(111) by Plasma-Assisted MBE for Applications as a Buffer Layer
R. Delgado, M.H. Zoellner, P. Sana, H. Tetzner, P. Zaumseil, J. Dabrowski, M.A. Schubert, T. Schroeder
Proc. Austrian MBE Workshop 2017, abstr. book (2017)

(7) ScN Buffer Development for the Integration of GaN on Si (111)
R. Delgado, M.H. Zoellner P. Sana, H. Tetzner, P. Zaumseil, J. Dabrowski, M.A. Schubert, T. Schroeder
Proc. 13th Interregional Workshop on Advanced Nanomaterials (IWAN 2017), abstr. book, 46 (2017)

(8) Tunable Schottky Barrier and High Responsivity in Graphene/Si-Nanotip Optoelectronic Device
A. Di Bartolomeo, F. Giubileo, G. Luongo, L. Iemmo, N. Martucciello, G. Niu, M. Fraschke, O. Skibitzki, T. Schroeder, G. Lupina
2D Materials (2DM) 4, 015024 (2017)
Wedemonstrate tunable Schottky barrier height and record photo-responsivity in a new-concept device made of a single-layerCVDgraphene transferred onto a matrix of nanotips patterned on n-type Si wafer. The original layout, where nano-sized graphene/Si heterojunctions alternate to graphene areas exposed to the electric field of the Si substrate, which acts both as diode cathode and transistor gate, results in a two-terminal barristor with single-bias control of the Schottky barrier. The nanotip patterning favors light absorption, and the enhancement of the electric field at the tip apex improves photo-charge separation and enables internal gain by impact ionization. These features render the device a photodetector with responsivity (3 A W-1 forwhite LEDlight at 3 mW cm-2 intensity) almost an order of magnitude higher than commercial photodiodes.Weextensively characterize the
voltage and the temperature dependence of the device parameters, and prove that the multi-junction approach does not add extra-inhomogeneity to the Schottky barrier height distribution.We also introduce a new phenomenological graphene/semiconductor diode equation, which well describes the experimental I–V characteristics both in forward and reverse bias.

(9) Hybrid Graphene/Silicon Schottky Photodiode with Intrinsic Gating Effect
A. Di Bartolomeo, G. Luongo, F. Giubileo, N. Funicello, G. Niu, T. Schroeder, M. Lisker, G. Lupina
2D Materials (2DM) 4, 025075 (2017)
(Graphen)
We propose a hybrid device consisting of a graphene/silicon (Gr/Si) Schottky diode in parallel with a Gr/SiO2/Si capacitor for high-performance photodetection. The device, fabricated by transfer of commercial graphene on low-doped n-type Si substrate, achieves a photoresponse as high as 3 AW−1 and a normalized detectivity higher than 3.5×1012 cm Hz1/2 W−1 in the visible range. It exhibits a photocurrent exceeding the forward current because photo-generated minority carriers, accumulated at Si/SiO2 interface of the Gr/SiO2/Si capacitor, diffuse to the Gr/Si junction. We show that the same mechanism, when due to thermally generated carriers, although usually neglected or disregarded, causes the increased leakage often measured in Gr/Si heterojunctions. We perform extensive I–V and C-V characterization at different temperatures and we measure a zero-bias Schottky barrier height of 0.52 eV at room temperature, as well as an effective Richardson constant A** = 4×10−5 A cm−2 K−2 and an ideality factor n ≈3.6, explained by a thin (<1 nm) oxide layer at the Gr/Si interface.

(10) Structural Analysis of a Phosphide-Based Epitacial Structure with a Buried Oxidiezed AlAs Sacrificial Layer
M. Englhard, B. Reuters, J. Bauer, C. Klemp, P. Zaumseil, T. Schroeder, O. Skibitzki
Journal of Applied Physics 121, 215303 (2017)

Phosphide-based thin-film light-emitting diodes (TF-LEDs) lattice-matched to GaAs are well established in optoelectronics in the wavelength range between 550 and 650 nm. In this work, we investigate the impact of oxidized AlAs to overlying phosphide-based pseudomorphically grown epitaxial structures. Oxidation of a buried AlAs sacrificial layer allows the separation of the grown TF-LED epitaxy from its substrates and enables an oxidation lift-off process. To evaluate the strain effect of progressing oxidation on the structure of the chip, we perform high-resolution x-ray diffraction analysis on as-grown, mesa-structured, semi-oxidized, and completely laterally oxidized chips. At each state, a pseudomorphic phosphide-based InAlP layer is found. The InAlP layer exhibits a tensile out-of-plane strain of approximately 0.20% and a compressive in-plane strain of approx. 0.19%. Additionally, scanning transmission electron microscopy, energy-dispersive x-ray spectroscopy, and l-photoluminescence were used for investigation of the boundary zone of the oxidation front of AlAs, the interfaces between phosphide-based semiconductors (InAlP/InGaAlP) and oxidized amorphous AlAs and the light emission of InGaAlP multiple quantum wells.

(11) A Novel Vacuum Epitaxial lift-off (VELO) Process for Separation of Hard GaAs Substrate/Carrier Systems for a more Green Semiconductor LED Production
M. Englhard, B. Reuters, F.B. Michaelis, M. Behringer, P. Sundgren, C. Klemp, O. Skibitzki, T. Schroeder
Materials Science in Semiconductor Processing 71, 389 (2017)
This study reports on a novel vacuum epitaxial lift-off (VELO) process to reuse the GaAs substrates in lightemitting diode (LED) production. The method is based on an epitaxial lift-off technique, whose application is however limited to flexible wafers, as gaseous reaction products (e.g. AsH3) formed during the etching of AlAs with hydrofluoric acid are trapped within the wafer stack. In the developed VELO process, an applied vacuum of ∼ 5000 Pa to the bonded wafer stack removes such detrimental reaction gases, allowing a separation of hard
substrate/carrier systems. The VELO process is evaluated with a state-of-the-art thin-film light-emitting diode (TF-LED) phosphide-based epitaxial structure with a buried AlAs sacrificial layer and a simplified LED chip construction at 4-in. wafer level. Characterization of the so-processed LEDs using high-resolution x-ray diffraction, μ-photoluminescence and electrical testing reveal that the VELO TF-LEDs show a comparable performance
like to released chips by using conventional grinding/polishing and etching of the GaAs substrate. As our VELO process is non-destructive to the substrate, the GaAs wafers can be reused, enabling lower costs for LED production and reduced toxic waste to establish a green semiconductor production.

(12) Optical Critical Points of SixGe1-x-ySny Alloys with High Si Content
I.A. Fischer, A. Berrier, F. Hornung, M. Oehme, P. Zaumseil, G. Capellini, N. von den Driesch, D. Buca, J. Schulze
Semiconductor Science and Technology 32(12), 124004 (2017)
(Ge Laser)
We extend the analysis of optical transition energies above 1.5 eV in ternary SixGe1-x-ySny alloys grown by molecular beam epitaxy to a composition range in which 1-x-y is as low as 0.405. Simple models for transition energies assume a quadratic dependence on material content. Comparing our results to existing predictions of the transition energies based on results obtained from samples with much lower Si and Sn content, however, we find a significant disagreement between experiment and theory, indicating that the assumption of a quadratic dependence might not be valid for the entire composition range of the ternary alloy.

(13) Optical Transition Energies of SixGe1-x-ySny Alloys with High Si Content
I.A. Fischer, A. Berrier, M. Oehme, P. Zaumseil, G. Capellini, N. von den Driesch, D. Buca, J. Schulze
Proc. 10th International Conference on Silicon Epitaxy and Heterostructures (ICSI 2017), 89 (2017)

(14) Development of Graphene Process Control by Industrial Optical Spectroscopy Setup
O. Fursenko, M. Lukosius, G. Lupina, J. Bauer, C. Villringer, A. Mai
Proceedings of SPIE 10330, 1033017 (2017)

(15) Electrical Characterization and Modeling of 1T-1R RRAM Arrays with Amorphous and Poly-Crystalline HfO2
A. Grossi, C. Zambelli, P. Olivo, A. Crespo-Yepes, J. Martin-Martinez, R. Rodriguez, M. Nafria, E. Perez, Ch. Wenger
Solid State Electronics 128, 187 (2017)
DOI: 10.1016/j.sse.2016.10.025, (Panache)
In this work, a comparison between 1T-1R RRAM arrays, manufactured either with amorphous or polycrystalline Metal–Insulator–Metal cells, is reported in terms of performance, reliability, Set/Reset operations energy requirements, intra-cell and inter-cell variability during 10k endurance cycles and 100k read disturb cycles. The modeling of the 1T-1R RRAM array cells has been performed with two different approaches: (i) a physical model like the Quantum Point Contact (QPC) model was used to find the relationship between the reliability properties observed during the endurance and the read disturb tests with the conductive filament properties; (ii) a compact model to be exploited in circuit simulations tools which models the I–V characteristics of each memory cells technology.

(16) Electrical Characterization and Modeling of 1T-1R RRAM Arrays with Amorphous and Poly-Crystalline HfO2
A. Grossi, C. Zambelli, P. Olivo, A. Crespo-Yepes, J. Martin-Martinez, R. Rodriguez, M. Nafria, E. Perez, Ch. Wenger
Solid State Electronics 128, 187 (2017)
DOI: 10.1016/j.sse.2016.10.025, (R2RAM)
In this work, a comparison between 1T-1R RRAM arrays, manufactured either with amorphous or polycrystalline Metal–Insulator–Metal cells, is reported in terms of performance, reliability, Set/Reset operations energy requirements, intra-cell and inter-cell variability during 10k endurance cycles and 100k read disturb cycles. The modeling of the 1T-1R RRAM array cells has been performed with two different approaches: (i) a physical model like the Quantum Point Contact (QPC) model was used to find the relationship between the reliability properties observed during the endurance and the read disturb tests with the conductive filament properties; (ii) a compact model to be exploited in circuit simulations tools which models the I–V characteristics of each memory cells technology.

(17) Radio Frequency CMOS Chem-Bio Viscosity Sensors Based on Dielectric Spectroscopy
S. Guha, Ch. Wenger
Proc. International Conference on Biomedical Electronics and Devices (BIODEVICES 2017), 142 (2017)
(EXASENS)

(18) A Review on Passive and Integrated Near-Field Microwave Biosensors
S. Guha, F.I. Jamal, Ch. Wenger
Biosensors (MDPI) 7, 42 (2017)
(EXASENS)
In this paper we review the advancement of passive and integrated microwave biosensors.
The interaction of microwave with biological material is discussed in this paper. Passive microwave biosensors are microwave structures, which are fabricated on a substrate and are used for sensing biological materials. On the other hand, integrated biosensors are microwave structures fabricated in standard semiconductor technology platform (CMOS or BiCMOS). The CMOS or BiCMOS sensor technology offers a more compact sensing approach which has the potential in the future for point of care testing systems. Various applications of the passive and the integrated sensors have been discussed in this review paper.

(19) A CMOS Radio Frequency Biosensor for Rapid Detection and Screening of Sputum-Mucin Viscosity
S. Guha, K. Ramaker, T. Krause, Ch. Wenger
Proc. IEEE Sensors 2017, 1254 (2017)
(EXASENS)

(20) CMOS Compatible THz Biosensing Platform Based on Germanium Plasmonic Antennas
S. Guha, M. Kazmierczak, M. Betthenhausen, C. You, J. Mitzloff, J. Flesch, J. Piehler, B. Witzigmann, T. Schroeder
Proc. 10th German BioSensor Symposium (EBS 2017), (2017)
(DFG Group 4 Plasmonics)

(21) Plasmonic Germanium Resonators for CMOS Compatible Terahertz Chem-Bio Sensing Platform
S. Guha, M. Kazmierczak, M. Betthenhausen, O. Skibitzki, J. Mitzloff, J. Flesch, C. You, J. Piehler, B. Witzigmann, T. Schroeder
Proc. IEEE International Conference on Group IV Photonics (GFP 2017), 77 (2017)

(22) Graphene Enhanced Field Emission from InP Nanocrystals
L. Iemmo, A. Di Bartolomeo, F. Giubileo, G. Luongo, M. Passacantando, G. Niu, F. Hatami, O. Skibitzki, T. Schroeder
Nanotechnology 28, 495705 (2017)
We report the observation of field emission (FE) from InP nanocrystals (NCs) epitaxially grown
on an array of p-Si nanotips. We prove that FE can be enhanced by covering the InP NCs with
graphene. The measurements are performed inside a scanning electron microscope chamber with a nano-controlled W-thread used as an anode. We analyze the FE by Fowler–Nordheim theory and find that the field enhancement factor increases monotonically with the spacing between the anode and the cathode. We also show that InP/p-Si junction has a rectifying behavior, while graphene on InP creates an ohmic contact. Understanding the fundamentals of such nanojunctions is key for applications in nanoelectronics.

(23) A Low-Power 30 GHz Complex Dielectric Chem-Bio-Sensor in a SiGe BiCMOS Technology
F.I. Jamal, S. Guha, M.H. Eissa, D. Kissinger, J. Wessel
Proc. IEEE MTT-S International Microwave Bio Conference (IMBioC 2017), (2017)
(PlaqueCharM)
This paper presents an integrated chem-bio-sensor in K-band frequencies implemented in a 0.25 um SiGe BiCMOS technology including the read-out circuits. The sensor enables the detection of both permittivity and loss tangent of the material under test (MUT). A sensing oscillator results in a permittivity dependent output frequency and output power. The output power is measured using a power detector. The frequency information is translated to a DC voltage using a frequency discriminator comprised of a delay line, a Gilbert-cell mixer and a low-pass filter. The sensor differentiates different methanol-ethanol solutions in the measurements. It is 2.3 in sq. mm in size and it consumes 50 mW power. The sensor shows less than 5% error in permittivity extraction values. As a compact and low-power solution the sensor is a potential candidate for minimal invasive investigations of chemicals and bio-materials at mm-wave frequencies.

(24) Low-Power Miniature K-Band Sensors for Dielectric Characterization of Biomaterials
F.I. Jamal, S. Guha, M.H. Eissa, J. Borngräber, Ch. Meliani, H.J. Ng, D. Kissinger, J. Wessel
IEEE Transactions on Microwave Theory and Techniques 65(3), 1012 (2017)
(PlaqueCharM)
This paper presents the design and comparison of three K-band sensing oscillators in standard 0.25 um SiGe:C BiCMOS technology with featuring an open-stub, shunt-stub and a combination of both. The different stub types are combined with the capacitive and/or inductive elements of the particular oscillator and serve as the sensing elements in the respective setup. The input impedances of the stubs depend on the permittivity of the medium. Therefore, the oscillation frequencies and the output power correspond to the dielectric material under test (MUT). The sensors' response to different dielectric properties have been investigated using different compositions of methanol-ethanol solutions. In the conducted experiments, the proposed architectures show a maximum frequency shift of 5 % (27.8 GHz to 26.4 GHz) for a change in permittivity of 2.4 (4.1 to 6.5) of the MUT. Each of three sensors has a chip-area of 0.6 sq. mm and consumes less than 12 mW power. The proposed sensor is a potential component for future low-power front-ends to perform minimally invasive investigations of bio-materials.

(25) Ab Initio Calculations and Rate Equation Simulations for Vacancy and Vacancy-Oxygen Clustering in Silicon
G. Kissinger, J. Dabrowski, T. Sinno, Y. Yang, D. Kot, A. Sattler
Journal of Crystal Growth 468, 424 (2017)
(Future Silicon Wafers)
Formation energies of vacancy clusters Vn with (1≤n≤11) in crystalline Si and of their complexes VnOm (1≤m≤14) with oxygen were computed by ab initio density functional theory (DFT) within the generalized gradient approximation (GGA) and used to simulate the process of vacancy agglomeration after rapid thermal annealing (RTA) and also the formation of bulk microdefects (BMDs) in Si. Rate equation modeling of vacancy agglomeration after RTA and subsequent annealing below 1000 °C was carried out and the results were
compared for binding energies obtained with different cell size. In Czochralski silicon, the interaction between vacancies and interstitial oxygen has to be taken into account. The BMD formation in Czochralski silicon was modeled by a continuum model comprised of a large system of rate equations, which accounts for the free energy of BMDs as a function of BMD size and shape, temperature and point defect concentrations.

(26) Investigation of the Composition of the Si/SiO2 Interface in Oxide Precipitates and Oxide Layers on Silicon by STEM/EELS
G. Kissinger, M.A. Schubert, D. Kot, T. Grabolla
ECS Journal of Solid State Science and Technology 6(7), N54 (2017)
(Future Silicon Wafers)
We investigated thermal oxide layers of different thickness on (100) and (111) silicon substrates by STEM/EELS to determine the stoichiometry profiles and compared these with stoichiometry profiles of plate-like and octahedral oxide precipitates in silicon. It was
found that the stoichiometry of SiOx (x = 2) cannot be reached if the oxide layer thickness is lower than 10 nm for thermal oxides grown at 900◦C. This is due to an interface layer of equal maximum slope of x for all oxide layers. The slope of x is the change in stoichiometry with position and was obtained from fitting by sigmoid functions. Similar results were found for the oxide precipitates in silicon. However, there are arguments which question the slope determined via the low loss EEL spectra and the maximum x value could be closer to 2 in reality. On a sample with an oxide layer of 13.9 nm thickness we compared stoichiometry profiles obtained from the plasmon region and the Si-K2,3 and O-K ionization edges. The width of the interface measured on stoichiometry profiles decreases with increasing energy loss and is lowest for the O-K ionization edge with a width of 1.35 nm.

(27) Investigation of the Composition of the Si/SiO2 Interface in Oxide Precipitates and Oxide Layers on Silicon by STEM/EELS
G. Kissinger, M.A. Schubert, D. Kot, T. Grabolla
ECS Journal of Solid State Science and Technology 6(7), N54 (2017)
(Aeternitas)
We investigated thermal oxide layers of different thickness on (100) and (111) silicon substrates by STEM/EELS to determine the stoichiometry profiles and compared these with stoichiometry profiles of plate-like and octahedral oxide precipitates in silicon. It was
found that the stoichiometry of SiOx (x = 2) cannot be reached if the oxide layer thickness is lower than 10 nm for thermal oxides grown at 900◦C. This is due to an interface layer of equal maximum slope of x for all oxide layers. The slope of x is the change in stoichiometry with position and was obtained from fitting by sigmoid functions. Similar results were found for the oxide precipitates in silicon. However, there are arguments which question the slope determined via the low loss EEL spectra and the maximum x value could be closer to 2 in reality. On a sample with an oxide layer of 13.9 nm thickness we compared stoichiometry profiles obtained from the plasmon region and the Si-K2,3 and O-K ionization edges. The width of the interface measured on stoichiometry profiles decreases with increasing energy loss and is lowest for the O-K ionization edge with a width of 1.35 nm.

(28) Control of Oxygen Precipitation via RTA Treatment of Wafers with Deposited Nitride Layers
G. Kissinger, D. Kot, J. Dabrowski, T. Grabolla, T. Müller, A. Sattler
Proc. 29th International Conference on Defects in Semiconductors (ICDS 2017), abstr. book, 37 (2017)
(Future Silicon Wafers)

(29) About the Influence of Deposited Nitride Layers on Oxide Precipitation after RTA Pre-Treatment
G. Kissinger, D. Kot, J. Dabrowski, T. Grabolla, T. Müller, A. Sattler
Physica Status Solidi A 214, 1700236 (2017)
(Future Silicon Wafers)
Thermal nitride layers are well known to generate excess vacancies (V) as the result of stress release at the growing interface [1]. This is also interesting for bulk microdefect (BMD) generation because oxide precipitation in Czochralski silicon strongly depends on supersaturation of intrinsic point defects [2]. BMDs are oxygen precipitates with and without secondary defects generated for strain relaxation.

Stress also influences the intrinsic point defects during crystal growth because the equilibrium concentrations of vacancies and interstitials (I) depend on stress [3, 4, 5]. The diffusivities of V and I were found to be dependent on stress as well [6]. Further, it was published that N can diffuse from nitride layers into silicon at high temperature and increase the oxide precipitate density [7]. This raises the question: What is responsible for the BMD profile in rapid thermal annealing (RTA) pre-treated silicon wafers? Is it the excess vacancy supersaturation generated by the nitride layer or the nitrogen itself diffusing into silicon? A further impact factor is the strain generated by the nitride layer which depends on temperature and can be either compressive or tensile.

In order to elucidate the influence of stress and in-diffused nitrogen on oxide precipitation we started experiments with nitride layers accompanied by simulation models which help to understand the behavior of intrinsic point defect, nitrogen, and strain. Low pressure chemical vapor deposition (LPCVD) dichlorosilane based (DCS) and [tertiary-butylamino] silane (BTBAS) based nitrides of 5-20 nm and 20-85 nm thickness, respectively, were deposited on the silicon wafers. On part of the wafers, the nitride layer on the back surface was removed. A few of these one-sided nitride layers were deposited on 10 nm thermal oxide being typical for shallow trench isolation processes where the nitride layer is not deposited directly on silicon but on a thin oxide layer. This serves for stress relaxation and as an etch stop during layer removal. The film stresses were measured using a FSM 128 stress and wafer bow/warp measurement system calculating the film stress according to Stoney’s equation [8].

In the beginning of thermal treatment, all wafers received an RTA at 1250°C or 1175°C 30s in pure Ar in order to prevent any further nitride formation at the high temperatures. Then a thermal treatment at 780°C 3h + 1000°C 16h in N2 was carried out on cut pieces with and without the layers on top.

The results will be discussed with respect to possible in-diffusion of nitrogen, vacancy profiles, and the impact of strain on the solubility of oxygen. In the discussion, measured vacancy concentration profiles, modeled nitrogen diffusion profiles, and total energy changes of interstitial oxygen under the influence of biaxial strain calculated ab initio will be used. A mechanical model will be used to calculate the changes of stress and strain during thermal treatment of the layer systems.

References
1. P. Fahey, G. Barbuscia, M. Moslehi, and W. Dutton, J. Appl. Phys. 46, 784 (1985).
2. J. Vanhellemont and C. Claeys, J. Appl. Phys. 62, 3960 (1987), Erratum J. Appl. Phys. 71, 1073 (1992).
3. A. Antonelli, J. Bernholc, Phys. Rev. B 40, 10643 (1989).
4. K. Sueoka, E. Kamiyama, J. Vanhellemont, K. Nakamura, ECS Solid State Lett. 3(6), P69 (2014).
5. K. Nakamura, R. Suewaka, B. Ko, ECS Solid State Letters 3(3), N5 (2014).
6. M. J. Aziz, Y. Zhao, H.-J. Gossmann, S. Mitha, S. P. Smith, D. Schiferl, Phys. Rev. B 73, 054101(2006).
7. X. Ma, L. Fu, D. Yang, Solid State Phenom. 178-179, 249 (2011).
8. G. G. Stoney, Royal Society Proceedings Vol. 82 No. A553, 172 (1909).

(30) Graphene and GeSn as Innovative Materials for Microelectronics on Germanium
W.M. Klesse, M. Lukosius, J. Dabrowski, V. Schlykow, A.P. Becker, F. Reichmann, E. Hofmann, K. Noatschk, T. Schroeder
Proc. 13th Interregional Workshop on Advanced Nanomaterials (IWAN 2017), abstr. book, 5 (2017)

(31) AC Electrokinetic Immobilisation of Nanoobjects as Individual Singles in Regular Arrays
X. Knigge, C. Wenger, F.F. Bier, R. Hölzel
European Biophysics Journal 46, S187 (2017)
(BioBic)

(32) Sensitivity Enhanced FTIR Investigation of Defects Introduced by RTA Pre-Treatment in Czochralski Silicon Wafers
D. Kot, G. Kissinger, A. Sattler
Semiconductor Science and Technology 32(10), 104006 (2017)
The investigation of vacancy oxygen complexes in silicon wafers by FTIR is not easy because their concentration is close to the detection limit. In order to enhance the sensitivity of the FTIR measurement we investigated stacked samples of about 1 cm thickness at temperature close to liquid helium temperature. This method was applied to study the absorption bands of defects in as-grown silicon wafers, rapid thermal annealing (RTA) pre-treated wafers, and in RTA pre-treated wafers with subsequent anneals at 800 °C for short periods. We found that the RTA pre-treatment at 1250 °C could not fully annihilate the thermal double donors which were present in the as-grown wafer. By RTA at 1100 °C annihilation was possible. In the wafer pre-treated by RTA at 1250 °C we found the absorption bands of VO4 at 985 cm−1 and 991 cm−1 in the measurements carried out at room temperature and at 6 K, respectively. In this wafer we also detected an unknown band at 1030 cm−1. The VO4 band and the unknown band at 1030 cm−1 disappeared immediately after annealing at 800 °C for 10 min. Instead, the bands at 1096 and 1099 cm−1, both assigned to VO5,6, appeared. These bands are already present in the as-grown sample but their absorption coefficient decreases during RTA at 1100 °C. In samples annealed at 800 °C for 30 min or longer a new absorption band at 1053 cm−1 appears which can be also assigned to VO5,6 complexes.

(33) Characterization of Nanometer Sized Oxygen Precipitates in Highly B-Doped Czochralski Silicon
D. Kot, G. Kissinger, M.A. Schubert, St. Marschmeyer, G. Schwalb, A. Sattler
Physica Status Solidi C 14(12), 1700161 (2017)
We use a wide variety of analytical methods to characterize nanometer-sized oxygen precipitates in highly B-doped Czochralski (CZ) silicon. Due to the enhanced precipitation of oxygen in this type of wafer, the precipitate density reaches a value of 1 × 1013 cm−3 already after short annealing. On the one hand, this provides an excellent possibility for testing the detection limits of different methods and on the other hand the knowledge on oxygen precipitation in p+ material can be broadened. In order to study density, size, and morphology of oxygen precipitates, we exploit scanning transmission microscopy (STEM), reactive ion etching (RIE), and preferential etching. STEM is also used to determine size distribution and energy dispersive X-ray spectroscopy (EDX) and electron energy loss spectroscopy (EELS) are used to investigate the composition of oxygen precipitates. In annealed samples, oxygen precipitates, dislocation loops, and stacking faults are found. The dislocation loops disappear after long annealing in contrast to the stacking faults which are detected in all samples annealed at 1000 °C. It is found that the long anneal at 1000 °C leads to the formation of two size fractions of precipitates. This process is similar to Ostwald ripening. The precipitates are octahedral, consist of SiO2 and the B concentration is below the detection limit of the methods used here. The obtained results are in good agreement with the nucleation model of highly doped wafers proposed by Sueoka.

(34) Current Stage of the Investigation of the Composition of Oxygen Precipitates in Czochralski Silicon Wafers
D. Kot, G. Kissinger, M.A. Schubert, A. Sattler
ECS Journal of Solid State Science and Technology 6(4), N17 (2017)
In this work, we look on the current stage of the investigation of the composition of oxygen precipitates obtained with the help of different techniques. Moreover, we present our recent and new investigation of the composition of oxygen precipitates carried out by means of energy dispersive X-ray spectroscopy, electron energy loss spectroscopy, and Fourier transform infrared spectroscopy. The FTIR spectra measured at liquid helium temperature are compared with the spectra simulated on the basis of experimental results obtained by scanning transmission electron microscopy. According to the results obtained by all methods the precipitated phase of plate-like as well as octahedral precipitates is close to SiO2.

(35) Automatic Analysis of [110] Tilt Grain Boundaries in III-V Zinc-Blende Semiconductors from Aberration-Corrected HAADF-STEM Images
R. Kozak, F. Kurdzesau, I. Prieto, Y. Arroyo-Rojas Dasilva, O. Skibitzki, T. Schroeder, H. von Känel, R. Erni, G.-L. Bona, M.D. Rossell
Proc. 13th Multinational Congress on Microscopy, 442 (2017)

(36) A Tool for Automatic Recognition of [110] Tilt Grain Boundaries in Zincblende-Type Crystals
R. Kozak, F. Kurdzesau, I. Prieto, O. Skibitzki, T. Schroeder, Y. Arroyo-Rojas Dasilva, R. Erni, H. von Känel, M.D. Rossell
Journal of Applied Crystallography 50, 1299 (2017)
(DFG-DACh)

(37) GaAs Nanocrystals Epitaxially Grown on Nano-Patterned (001) Si Substrates: Strain and Defect Distribution
R. Kozak, I. Prieto, O. Skibitzki, Y. Arroyo-Rojas Dasilva, R. Erni, T. Schroeder, H. von Känel, M.D. Rossell
Proc. Microscopy Conference 2017, 34 (2017)

(38) Strain Relaxation in Epitaxial GaAs/Si (001) Nanostructures
R. Kozak, I. Prieto, Y. Arroyo-Rojas Dasilva, R. Erni, O. Skibitzki, G. Capellini, T. Schroeder, H. von Känel, M.D. Rossell
Philosophical Magazine 97(31), 2845 (2017)
(DFG-DACh)
Crystal defects, present in ~100 nm GaAs nanocrystals grown by metal organic vapour phase epitaxy on top of (0 0 1)-oriented Si nanotips (with a tip opening 50–90 nm), have been studied by means of high-resolution aberration-corrected high-angle annular dark-field scanning transmission electron microscopy. The role of 60° perfect, 30° and 90° Shockley partial misfit dislocations (MDs) in the plastic strain relaxation of GaAs on Si is discussed. Formation conditions of stair-rod dislocations and coherent twin boundaries in the GaAs nanocrystals are explained. Also, although stacking faults are commonly observed, we show here that synthesis of GaAs nanocrystals with a minimum number of these defects is possible. On the other hand, from the number of MDs, we have to conclude that the GaAs nanoparticles are fully relaxed plastically, such that for the present tip sizes no substrate compliance can be observed.

(39) Combination of Dielectrophoresis and SERS for Bacteria Detection and Characterization
E.-M. Laux, A. Docoslis, Ch. Wenger, F.F. Bier, R. Hölzel
European Biophysics Journal 46, S331 (2017)
(BioBic)

(40) AC Electrokinetic Manipulation of Nanoparticles and Molecules
E.-M. Laux, X. Knigge, Ch. Wenger, F.F. Bier, R. Hölzel
European Biophysics Journal 46, S189 (2017)
(BioBic)

(41) Large Scale Graphene Integration in a 200 mm Wafer Silicon Technology
M. Lisker, M. Lukosius, G. Lupina, A. Mai
Proc. Grapchina 2017, abstr. book, 98 (2017)
(Graphen)

(42) Graphene Synthesis on Ge/Si(001) Substrates
M. Lukosius, J. Dabrowski, G. Lippert, J. Kitzmann, M. Lisker, O. Fursenko, F. Akhtar, Y. Yamamoto, A. Wolff, A. Mai, T. Schroeder, G. Lupina
Proc. 10th International Conference on Silicon Epitaxy and Heterostructures (ICSI 2017), 157 (2017)

(43) Towards the Growth of Graphene on Dielectrics by Ni-Assisted CVD
M. Lukosius, I. Pasternak, F. Akhtar, A. Jouvray, M. Lisker, A. Wolff, T. Schroeder, A. Mai, G. Lupina, W. Strupinski
Proc. Graphene Week 2017, abstr. book (2017)

(44) Graphene Synthesis and Processing on Ge Substrates
G. Lupina, M. Lukosius, G. Lippert, J. Dabrowski, J. Kitzmann, M. Lisker, P. Kulse, A. Krüger, O. Fursenko, I. Costina, A. Trusch, Y. Yamamoto, A. Wolff, T. Schroeder, A. Mai
ECS Journal of Solid State Science and Technology 6(5), M55 (2017)
(Graphen)
We review some of the recent results obtained on the graphene synthesis on Ge(100)/Si(100) substrates by molecular beam epitaxy and wafer scale chemical vapor deposition. We outline some of the identified challenges in synthesis and present first experimental results on patterning and in-line metrology of graphene in a 200 mm wafer pilot line.

(45) An Integrated Rad-Hard Test-Vehicle for Embedded Emerging Memories
N. Lupo, C. Calligaro, Ch. Wenger, F. Maloberti
Proc. 23rd International Conference on Electronics Circuits and Systems (ICECS 2016), 5 (2017)

(46) Large Scale Graphene Integration for Silicon Technologies
A. Mai, M. Lisker, M. Lukosius, G. Lupina
ECS Transactions 79(1), 3 (2017)
In this work we present process developments for the integration of graphene into a 200mm silicon technology platform. We investigated different process module developments like graphene synthesis on silicon compatible materials like germanium and a non-destructive deposition of dielectric materials on the 2D graphene sheet. Moreover, the combinations of these processes for various concepts of contacting on a full 8" wafer are considered.
Finally, we discuss certain metrology methods of a standard Si-CMOS technology and their adaption for an accurate process control of the graphene related processes. We evaluate all
processes with respect to their silicon baseline technology compatibility and discuss challenges for future developments towards the developments of large-scale integration of graphene into a silicon technology.



(47) Exceptional Thermal Strain Reduction by a Tilting Pillar Architecture: Suspended Ge Layers on Si (001)
A. Marzegalli, A. Cortinovis, F. Basso Basset, E. Bonera, F. Pezzoli, A. Scaccabarozzi, F. Isa, G. Isella, P. Zaumseil, G. Capellini, T. Schroeder, L. Miglio
Materials & Design 116, 144 (2017)
In this paperwe present the exceptional thermal strain release provided by micrometric Si pillar arrays to Ge epitaxial patches suspended on them, for different pillar aspect ratios and patch sizes. By combining 3D and 2D Finite Element Method simulations, low-energy plasma-enhanced chemical vapor deposition on patterned Si substrates, μ-Raman, μ-photoluminescence and XRD measurements, we provide a quantitative and consistent
picture of this effect with the patch sizes. Strain relaxation up to 85% of the value for the corresponding planar films can be obtained for a squared patch 100 μm in size. Finally, the enhanced thermal strain relaxation is analytically explained in terms of the Si pillar lateral tilting, critically dependent on the pillar aspect ratio, very similarly to thewell-known case of a deflected beam. Our results are transferable to any material deposited, or wafer
bonded at high temperature, on any patterned substrate: wafer bowing can be controlled by micrometric patterned features well within the present capabilities of deep reactive ion etching.

(48) Simulation of CMOS Compatible Planar Sensor Structures for Dielectrophoretic Biomolecule Immobilization
H. Matbaechi Ettehad, S. Guha, Ch. Wenger
Proc. COMSOL Conference 2017, (2017)
(BioBic)

(49) Program FFlexCom – High Frequency Flexible Bendable Electronics for Wireless Communication Systems
T. Meister, F. Ellinger, J.W. Bartha, M. Berroth, J. Burghartz, M. Claus, L. Frey, A. Gagliardi, M. Grundmann, J. Hesselbarth, H. Klauk, K. Leo, P. Lugli, S. Mannsfeld, Y. Manoli, R. Negra, D. Neumaier, U. Pfeiffer, T. Riedl, S. Scheinert, U. Scherf, A. Thiede, G. Troester, M. Vossiek, R. Weigel, Ch. Wenger
Proc. IEEE International Conference on Microwaves, Communications, Antennas and Electronic Systems (COMCAS 2017), (2017)
(FFLEXCOM (D020))

(50) Study on Defect Annealing Potential and Bulk Micro Defect Formation using Ultra High Temperature RTA Conditions in Czochralski Silicon
T. Müller, M. Gehmlich, G. Kissinger, D. Kot, A. Sattler
Physica Status Solidi C 14(7), 1700119 (2017)
(Future Silicon Wafers)
One of the key-techniques in state of the art microelectronic engineering to process high performance devices like DRAM, 2D / 3D NAND is the near surface defect control on the nanometer scale. Typically the defect behavior in CZ silicon is controlled by e. g. magnetic fields for oxygen control and tight v/G and stress control to ensure the absence of larger grown in defects originating from either interstitial or vacancy super-saturation[1].

In addition an internal gettering is needed to assure absence of metal atoms which are harmful for the device layer. This internal getter is typically formed by oxygen precipitates (also called bulk micro defects (BMDs)). They have to be formed in a typical density (> 1E9/cm3) and depth (> couple of µm) to ensure sufficient gettering and stable device performance.
Rapid thermal annealing (RTA) is an appropriate method which can store vacancies in a specific depth from the surface. This is achieved via NH3 dissociation at the surface at elevated temperatures (> 1150°C) [2, 3, 4].
In this paper, the key parameters of the nitriding step with respect to vacancy and BMD-profile formation are discussed. These RTA process parameters are: the maximum NH3 dissociation temperature (1), the dependence of the denuded zone on the RTA process ambient (2) and the temperature stability of the stored vacancy peak. The dependence of BMD and vacancy depth profiles on these process parameters was investigated and a first model is suggested.

Especially the gate oxide integrity (GOI) is an important parameter to establish long life cycles in current memory devices. After NH3 RTA processing it was surprisingly found that the GOI signal is still influenced by small sized grown- in particles. They consist of octahedral shapes and are fully oxidized at sizes <= 10 nm as found by TEM.  It is demonstrated that a complete restoration towards a high GOI signal can be achieved via a 1300°C RTA step. The gate oxide integrity is afterwards as good as observed on a defect free  polished CZ wafer. This effect is different from GOI deterioration found after RTA processing of wafers from fast pulled v-rich crystals [5].

[1] J. Vanhellemont, E. Kamiyama, K. Nakamura, P. Spiewak, K. Sueoka, J. Crystal Growth (2017), http://dx.doi.org/10.1016/j.jcrysgr.2016.12.077
[2] J. W. Shin, W. S. Lee, et al, ECS Trans, 75 (4) 77-80 (2016)
[3] G. Kissinger, D. Kot, and W. Häckl, ECS Trans., 33 (11), 113 (2010)
[4] G. Kissinger, J. Dabrowski, A. Sattler, C. Seuring, T. Müller, H. Richter, and W. von Ammon, J. Electrochem. Soc., 154, H454 (2007)
[5] H. Sudo, K. Araki, T. Aoki, S. Maeda, JSPS Si Symposium Proceedings, Nov. 21-25, Kona, Hawaii, USA

(51) Electron Holography on HfO2/HfO2-x Bilayer Structures with Multilevel Resistive Switching Properties
G. Niu, M.A. Schubert, S.U. Sharath, P. Zaumseil, S. Vogel, Ch. Wenger, E. Hildebrandt, S. Bhupathi, E. Perez, L. Alff, M. Lehmann, T. Schroeder, T. Niermann
Nanotechnology 28, 215702 (2017)
Unveiling the physical nature of the oxygen-deficient conductive filaments (CFs) that are
responsible for the resistive switching of the HfO2-based resistive random access memory
(RRAM) devices represents a challenging task due to the oxygen vacancy related defect nature and nanometer size of the CFs. As a first important step to this goal, we demonstrate in this work direct visualization and a study of physico–chemical properties of oxygen-deficient amorphous HfO2−x by carrying out transmission electron microscopy electron holography as well as energy dispersive x-ray spectroscopy on HfO2/HfO2−x bilayer heterostructures, which are realized by reactive molecular beam epitaxy. Furthermore, compared to single layer devices, Pt/HfO2/HfO2−x/TiN bilayer devices show enhanced resistive switching characteristics with multilevel behavior, indicating their potential as electronic synapses in future neuromorphic computing applications.

(52) Mechanism of the Key Impact of Residual Carbon Content on the Reliability of Integrated Resistive Random Access Memory Arrays
G. Niu, X. Caroixa, A. Grossi, C. Zambelli, P. Olivo, E. Perez, M.A. Schubert, P. Zaumseil, I. Costina, T. Schroeder, Ch. Wenger
Journal of Physical Chemistry C: Nanomaterials and Interfaces 121(12), 7005 (2017)
(Panache)
Resistive random access memories (RRAM) require high density, low power consumption and high reliability. Systematic statistic electrical, material and theoretical studies were demonstrated in this work to point out and clarify a key impact of carbon residues on the resistive switching (RS), particularly the endurance, of the integrated HfO2-based 4 kbit
RRAM array. The mechanism of the carbon atoms interacting with oxygen vacancies and serving also as filament was understood in nanoscale by performing density functional
theory (DFT) calculations. Under an oxygen-deficient environment, carbon atoms tend to fill in oxygen vacancy (V"O) sites and could form conductive filaments which require higher energy to be broken compared to the original V"O filaments. By controlling the residual carbon concentration lower than 4%, highly reliable HfO2-based integrated 4 kbit RRAM array was
achieved, which is of great interest for future nonvolatile memories.

(53) Monte Carlo Simulations on the Effect of Sn Induced Defects on the Ge(001) Surface Reconstruction
K. Noatschk, W.M. Klesse, J. Dabrowski, E. Hofmann, T. Schroeder, G. Seibold
Proc. 13th International Workshop on Advanced Nanomaterials (IWAN 2017), abstr. book, 18 (2017)

(54) Assessing the Forming Temperature Role on Amorphous and Polycrystalline HfO2-Based 4kbit RRAM Arrays Performance
E. Perez, L. Bondesan, A. Grossi, C. Zambelli, P. Olivo, Ch. Wenger
Microelectronic Engineering 178, 1 (2017)
DOI: 10.1016/j.mee.2017.04.003, (Panache)
In this work, the forming operation was performed at different temperatures on 4kbits arrays in order to study its impact on the cells performance. Amorphous and polycrystalline HfO2-based cells were characterized. Increasing the temperature, the forming yield is improved (in amorphous cells), the cell-to-cell variability reduced and the voltage values required to create the conductive filament are lower. However, after the first reset/set operation the impact of temperature disappears. Thus, cells performance is not effectively impacted by the temperature used during the forming operation.

(55) Assessing the Forming Temperature Role on Amorphous and Polycrystalline HfO2-Based 4kbit RRAM Arrays Performance
E. Perez, L. Bondesan, A. Grossi, C. Zambelli, P. Olivo, Ch. Wenger
Microelectronic Engineering 178, 1 (2017)
DOI: 10.1016/j.mee.2017.04.003, (R2RAM)
In this work, the forming operation was performed at different temperatures on 4kbits arrays in order to study its impact on the cells performance. Amorphous and polycrystalline HfO2-based cells were characterized. Increasing the temperature, the forming yield is improved (in amorphous cells), the cell-to-cell variability reduced and the voltage values required to create the conductive filament are lower. However, after the first reset/set operation the impact of temperature disappears. Thus, cells performance is not effectively impacted by the temperature used during the forming operation.

(56) Impact of Temperature on Conduction Mechanism and Switching Parameters in HfO2-Based 1T-1R Resistive Random Access Memories Devices
E. Perez, Ch. Wenger, A. Grossi, C. Zambelli, P. Olivo, R. Roelofs
Journal of Vacuum Science and Technology B 35, 01A103 (2017)
DOI: 10.1116/1.4967308, (Panache)
In this work, the impact of temperature in the range from - 40 to +150 °C on the leakage
mechanism and resistive switching voltages of 1T-1R HfO2-based devices is investigated. By using incremental step pulses with an additional read and verify algorithm, the devices are switched from the high resistive state (HRS) to the low resistive state (LRS) and vice versa. In the HRS, the leakage current values are not affected by the temperature, suggesting a tunnel-like conduction mechanism through the filament constriction. By applying the quantum-point contact model, this temperature independence is attributed to compensation between the width and the height variations of the tunnel barrier. In contrast to the HRS, the leakage currents values of the LRS are decreasing linearly with raising temperature, suggesting a metal-like conduction mechanism. Therefore, the on/off ratio is slightly decreasing with increasing temperature. Regarding the switching voltages, no impact of temperature was found, ensuring stable switching cycles of the devices in the relevant temperature range for applications.

(57) Impact of the Incremental Programming Algorithm on the Filament Conduction in HfO2 Based RRAM Arrays
E. Perez, A. Grossi, C. Zambelli, P. Olivo, Ch. Wenger
IEEE Journal of the Electron Devices Society 5(1), 64 (2017)
DOI: 10.1109/JEDS.2016.2618425, (R2RAM)
In this work, the Set operation of HfO2 based 1T-1R arrays is studied by applying incremental step pulse with verify algorithm (ISPVA). To evaluate the impact of the voltage step increment on the conduction mechanism of filaments, the voltage increments between consecutive pulses are varied between 0.05 and 0.4 V. The extracted leakage values after the Set operation were discussed in the framework of the quantum point contact model (QPC). In the so called low resistive state (LRS), the conductive filaments (CF) demonstrate a defined signature of conductance quantization.

(58) Impact of Temperature on Conduction Mechanism and Switching Parameters in HfO2-Based 1T-1R Resistive Random Access Memories Devices
E. Perez, Ch. Wenger, A. Grossi, C. Zambelli, P. Olivo, R. Roelofs
Journal of Vacuum Science and Technology B 35, 01A103 (2017)
DOI: 10.1116/1.4967308, (R2RAM)
In this work, the impact of temperature in the range from - 40 to +150 °C on the leakage
mechanism and resistive switching voltages of 1T-1R HfO2-based devices is investigated. By using incremental step pulses with an additional read and verify algorithm, the devices are switched from the high resistive state (HRS) to the low resistive state (LRS) and vice versa. In the HRS, the leakage current values are not affected by the temperature, suggesting a tunnel-like conduction mechanism through the filament constriction. By applying the quantum-point contact model, this temperature independence is attributed to compensation between the width and the height variations of the tunnel barrier. In contrast to the HRS, the leakage currents values of the LRS are decreasing linearly with raising temperature, suggesting a metal-like conduction mechanism. Therefore, the on/off ratio is slightly decreasing with increasing temperature. Regarding the switching voltages, no impact of temperature was found, ensuring stable switching cycles of the devices in the relevant temperature range for applications.

(59) Reduction of the Cell-to-Cell Variability in Hf1-xAlxOy Based RRAM Arrays by Using Program Algorithms
E. Perez, A. Grossi, C. Zambelli, P. Olivo, R. Roelofs, Ch. Wenger
IEEE Electron Device Letters 38(2), 175 (2017)
DOI: 10.1109/LED.2016.2646758, (R2RAM)
In this letter, we propose an effective route to reduce the cell-to-cell variability in 1T-1R-based random accessmemories (RRAM) arraysby combining the excellent switching performance of Hf1-xAlxOy with an optimized incremental step pulse with verify algorithm for programming.
The strongly reduced cell-to-cell variability improves the thermal and post-programming stability of the arrays, which is relevant for many applications of the RRAM technology.
Finally, the retention study at 150 °C enables the prediction of the data storage capability.

(60) Impact of the Incremental Programming Algorithm on the Filament Conduction in HfO2 Based RRAM Arrays
E. Perez, A. Grossi, C. Zambelli, P. Olivo, Ch. Wenger
IEEE Journal of the Electron Devices Society 5(1), 64 (2017)
DOI: 10.1109/JEDS.2016.2618425, (Panache)
In this work, the Set operation of HfO2 based 1T-1R arrays is studied by applying incremental step pulse with verify algorithm (ISPVA). To evaluate the impact of the voltage step increment on the conduction mechanism of filaments, the voltage increments between consecutive pulses are varied between 0.05 and 0.4 V. The extracted leakage values after the Set operation were discussed in the framework of the quantum point contact model (QPC). In the so called low resistive state (LRS), the conductive filaments (CF) demonstrate a defined signature of conductance quantization.

(61) Electrical Study of Radiation Hard Designed HfO2-Based 1T-1R RRAM Devices
E. Perez, F. Teply, J. Schmidt, Ch. Wenger
MRS Advances 2(4), 223 (2017)
DOI: 10.1557/adv.2016.616, (Panache)
In this work the electrical performance of a radiation hard designed 1T-1R resistive random access memory (RRAM) device is investigated in DC (voltage sweep) and AC (pulsed voltage) modes. This new device is based on the combination of an Enclosed Layout Transistor (ELT) used as selector device and a TiN/ HfO2/ Ti/TiN RRAM stack used as resistive device. The high cell to cell variability in the DC mode makes it difficult to define an electrical gap between the High Resistive State (HRS) and the Low Resistive State (LRS). The strong reduction of the variability by the use of Incremental Step Pulse with Verify Algorithm (ISPVA) makes the later a mandatory programming approach. The Quantum Point Contact (QPC) model defines an energy barrier located in the rupture point of the filament in HRS. The compensation between the width and height variations of this barrier during cycling could explain the stability of HRS and LRS. The good performance of the proposed device using the ISPVA programming approach makes it a good candidate for Rad-Hard Non Volatile Memories integration.

(62) Electrical Study of Radiation Hard Designed HfO2-Based 1T-1R RRAM Devices
E. Perez, F. Teply, J. Schmidt, Ch. Wenger
MRS Advances 2(4), 223 (2017)
DOI: 10.1557/adv.2016.616, (R2RAM)
In this work the electrical performance of a radiation hard designed 1T-1R resistive random access memory (RRAM) device is investigated in DC (voltage sweep) and AC (pulsed voltage) modes. This new device is based on the combination of an Enclosed Layout Transistor (ELT) used as selector device and a TiN/ HfO2/ Ti/TiN RRAM stack used as resistive device. The high cell to cell variability in the DC mode makes it difficult to define an electrical gap between the High Resistive State (HRS) and the Low Resistive State (LRS). The strong reduction of the variability by the use of Incremental Step Pulse with Verify Algorithm (ISPVA) makes the later a mandatory programming approach. The Quantum Point Contact (QPC) model defines an energy barrier located in the rupture point of the filament in HRS. The compensation between the width and height variations of this barrier during cycling could explain the stability of HRS and LRS. The good performance of the proposed device using the ISPVA programming approach makes it a good candidate for Rad-Hard Non Volatile Memories integration.

(63) Site-Controlled Nano-Heteroepitaxy of GaAs on Si Tips
I. Prieto, O. Skibitzki, R. Kozak, M.D. Rossell, G. Capellini, P. Zaumseil, E. Gini, P. Diedrich, R. Erni, T. Schroeder, H. von Känel
Proc. 17th European Workshop on Metalorganic Vapour Phase Epitaxy (EW-MOVPE17), ITu2A-6 (2017)
(DFG-DACh)

(64) Selective Nucleation of GaAs on Si Nanofacets
I. Prieto, R. Kozak, O. Skibitzki, M.D. Rossell, T. Schroeder, R. Erni, H. von Känel
Small 13(22), 1603122 (2017)

(DFG-DACh)
The early growth stage of GaAs by metal organic vapor phase epitaxy on a novel kind of Si substrate is investigated. The substrate consists of nanotips (NTs) fabricated on a Si(001) wafer by means of lithography and reactive ion etching. 3D GaAs nanocrystals are found to nucleate with a probability of 90% on the (n0m), (–n0m), (0nm), and (0–nm) facets (n, m integers) of these NTs. Additionally, in terms of nucleation yield, an average of 2 GaAs nanocrystals in each of those facets is observed. By contrast, facets of type {±nnm} remain virtually free of any 3D nuclei. A simple model based on the kinetics of the growth is used to explain the facet selective 3D nucleation. The model is consistent with a similar selectivity observed on micrometer-sized substrate features.

(65) Bi-Modal Nanoheteroepitaxy of GaAs on Si by Metal Organic Vapor Phase Epitaxy
I. Prieto, R. Kozak, O. Skibitzki, M.D. Rossell, P. Zaumseil, G. Capellini, E. Gini, K. Kunze, Y. Arroyo-Rojas Dasilva, R. Erni, T. Schroeder, H. von Känel
Nanotechnology 28, 135701 (2017)
Nano-heteroepitaxial growth of GaAs on Si(001) by metal organic vapor phase epitaxy was
investigated to study emerging materials phenomena on the nano-scale of III–V/Si interaction.
Arrays of Si nano-tips (NTs) embedded in a SiO2 matrix were used as substrates. The NTs had top Si openings of 50–90 nm serving as seeds for the selective growth of GaAs nano-crystals (NCs). The structural and morphological properties were investigated by high resolution scanning electron microscopy, atomic force microscopy, electron backscatter diffraction, x-ray diffraction, and high resolution scanning transmission electron microscopy. The GaAs growth led to epitaxial NCs featuring a bi-modal distribution of size and morphology. NCs of small size exhibited high structural quality and well-defined {111}–{100} faceting. Larger clusters had less regular shapes and contained twins. The present work shows that the growth of high quality GaAs NCs on Si NTs is feasible and can provide an alternate way to the integration of compound semiconductors with Si micro- and opto-electronics technology.

(66) Site Controlled InAs/GaAs Nanostructures on Si Nano-Tips
I. Prieto, R. Kozak, O. Skibitzki, J. Martín-Sánchez, T. Fromherz, M.D. Rossell, E. Gini, G. Capellini, A. Rastelli, R. Erni, T. Schroeder, H. von Känel
Proc. OSA Advanced Photonics Congress, ITu2A (2017)
(DFG-DACh)

(67) Confinement of Charge Carriers in GeSn/SiGeSn Heterostructures
D. Rainko, N. von den Driesch, D. Stange, C. Schulte-Braucks, G. Mussler, I. Povstugar, U. Breuer, P. Zaumseil, G. Capellini, J.M. Hartmann, Z. Ikonic, S. Mantl, D. Grützmacher, D. Buca
Proc. 10th International Conference on Silicon Epitaxy and Heterostructures (ICSI 2017), 173 (2017)
see Proceedings

(68) Size-Dependent Investigation of Selectively Grown β-Sn Nanostructures
F. Reichmann, V. Schlykow, S. Guha, P. Zaumseil, D. Kot, D. Stolarek, T. Schroeder
Proc. 13th International Workshop on Advanced Nanomaterials (IWAN 2017), 20 (2017)
(DFG Group 4 Plasmonics)

(69) Characterization and Prevention of Humidity Related ALD Al2O3 Degradation
A. Rückerl, R. Zeisel, M. Mandl, I. Costina, T. Schroeder, M.H. Zoellner
Journal of Applied Physics 121, 025306 (2017)
Atomic layer deposited aluminum oxide (ALD-Al2O3) is a dielectric material, which is widely
used in organic light emitting diodes in order to prevent their organic layers from humidity related degradation. Unfortunately, there are strong hints that in some cases, ALD-Al2O3 itself is suffering from humidity related degradation. Especially, high temperature and high humidity seem to enhance ALD-Al2O3 degradation strongly. For this reason, the degradation behavior of ALD-Al2O3 films at high temperature and high humidity was investigated in detail and a way to prevent it from degradation was searched. The degradation behavior is analyzed in the first part of this paper. Using infrared absorbance measurements and X-ray diffraction, boehmite (γ-AlOOH) was identified as a degradation product. In the second part of the paper, it is shown that ALD-Al2O3 films can be effectively protected from degradation using a silicon oxide capping. The deposition of very small amounts of silicon in a molecular beam epitaxy system and an X-ray photoelectron spectroscopy investigation of the chemical bonding between the silicon and the ALD-Al2O3 surface led to the conclusion that a silicon termination of the ALD-Al2O3 surface (Al*-O-SiOx) is able to stop humidity related degradation of the underlying ALD-Al2O3 films. The third part of the paper shows that the protection mechanism of the silicon termination is probably due to the strong tendency of silicic acid to resilificate exposed ALD-Al2O3 surfaces. The protective effect of a simple silicon source on an ALD-Al2O3 surface is shown exemplary and the related chemical reactions are presented.

(70) Morphological Evolution of Pit-Patterned Si(001) Substrates Driven by Surface-Energy Reduction
M. Salvalaglio, R. Backofen, A. Voigt, F. Montalenti
Nanoscale Research Letters 12(1), 554 (2017)
DOI: 10.1186/s11671-017-2320-5
Lateral ordering of heteroepitaxial islands can be conveniently achieved by suitable pit-patterning of the substrate prior to deposition. Controlling shape, orientation, and size of the pits is not trivial as, being metastable, they can significantly evolve during deposition/annealing. In this paper, we exploit a continuum model to explore the typical metastable pit morphologies that can be expected on Si(001), depending on the initial depth/shape. Evolution is predicted using a surface-diffusion model, formulated in a phase-field framework, and tackling surface-energy anisotropy. Results are shown to nicely reproduce typical metastable shapes reported in the literature. Moreover, long time scale evolutions of pit profiles with different depths are found to follow a similar kinetic pathway. The model is also exploited to treat the case of heteroepitaxial growth involving two materials characterized by different facets in their equilibrium Wulff’s shape. This can lead to significant changes in morphologies, such as a rotation of the pit during deposition as evidenced in Ge/Si experiments.

(71) Shallow, Undoped and Strained Ge/SiGe Quantum Wells Towards Germanium Spin Qubits
A. Sammak, D. Sabbagh, L. Yeoh, M. Lodari, N. Shamararkadze, M. Virgilio, P. Zaumseil, G. Capellini, S. Kolling, S.C. Boj, G. Scappucci
Proc. 10th International Conference on Silicon Epitaxy and Heterostructures (ICSI 2017), 179 (2017)

(72) Schottky Barrier Tuning via Dopant Segregation in NiGeSn-GeSn Contacts
Ch. Schulte-Braucks, E. Hofmann, St. Glass, N. von den Driesch, G. Mussler, U. Breuer, J.-M. Hartmann, P. Zaumseil, T. Schroeder, Q.-T. Zhao, S. Mantl, D. Buca
Journal of Applied Physics 121, 205705 (2017)

(Ge Laser)
We present a comprehensive study on the formation and tuning of the Schottky barrier of NiGeSn metallic alloys on Ge1-xSnx semiconductors. First, the Ni metallization of GeSn is investigated for a wide range of Sn contents (x=0–0.125). Structural analysis reveals the existence of different poly-crystalline NiGeSn and Ni3(GeSn)5 phases depending on the Sn content. Electrical measurements confirm a low NiGeSn sheet resistance of 12 X/( almost independent of the Sn content. We extracted from Schottky barrier height measurements in NiGeSn/GeSn/NiGeSn metal-semiconductor-metal diodes Schottky barriers for the holes below 0.15 eV. They decrease with the Sn content, thereby confirming NiGeSn as an ideal metal alloy for p-type contacts. Dopant segregation for both p- and n-type dopants is investigated as a technique to effectively modify the Schottky barrier of NiGeSn/GeSn contacts. Secondary ion mass spectroscopy is employed to analyze dopant segregation and reveal its dependence on both the Sn content and biaxial layer strain.

(73) Control of Switching Modes and Conductance Quantization in Oxygen Engineered HfOx Based Memristive Devices
S.U. Sharath, S. Vogel, L. Molina-Luna, E. Hildebrandt, Ch. Wenger, J. Kurian, M. Duerrschnabel, T. Niermann, G. Niu, P. Calka, M. Lehmann, H.-J. Kleebe, T. Schroeder, L. Alff
Advanced Functional Materials 27(32), 1700432 (2017)
(DFG-RRAM project)
Hafnium oxide (HfOx)-based memristive devices have tremendous potential as nonvolatile resistive random access memory (RRAM) and in neuromorphic electronics. Despite its seemingly simple two-terminal structure, a myriad of RRAM devices reported in the rapidly growing literature exhibit rather complex resistive switching behaviors. Using Pt/HfOx/TiN-based metal–insulator–metal structures as model systems, it is shown that a well-controlled oxygen stoichiometry governs the filament formation and the occurrence of multiple switching modes. The oxygen vacancy concentration is found to be the key factor in manipulating the balance between electric field and Joule heating during formation, rupture
(reset), and reformation (set) of the conductive filaments in the dielectric. In addition, the engineering of oxygen vacancies stabilizes atomic size filament constrictions exhibiting integer and half-integer conductance quantization at room temperature during set and reset. Identifying the materials conditions of different switching modes and conductance quantization contributes to a unified switching model correlating structural and functional properties of RRAM materials. The possibility to engineer the oxygen stoichiometry in HfOx will allow
creating quantum point contacts with multiple conductance quanta as a first step toward multilevel memristive quantum devices.

(74) Low Resistive Edge Contacts to CVD-Grown Graphene Using CMOS Compatible Metals
M. Shaygan, M. Otto, A. Sagade, C.A. Chavarin, G. Bacher, W. Mertin, D. Neumaier
Annalen der Physik 529(11), 1600410 (2017)
The exploitation of the excellent intrinsic electronic properties of graphene for device
applications is hampered by a large contact resistance between the metal and
graphene. The formation of edge contacts rather than top contacts is one of the most
promising solutions for realizing low ohmic contacts. In this paper the fabrication and
characterization of edge contacts to large area CVD-grown monolayer graphene by
means of optical lithography using CMOS compatible metals, i.e. Nickel and Aluminum
is reported. Extraction of the contact resistance by Transfer Line Method (TLM) as well
as the direct measurement using Kelvin Probe Force Microscopy demonstrates a very
low width specific contact resistance down to 130 Ωμm. The contact resistance is
found to be stable for annealing temperatures up to 150°C enabling further device
processing. Using this contact scheme for edge contacts, a field effect transistor based
on CVD graphene with a high transconductance of 0.63 mS/μm at 1 V bias voltage is
fabricated.

(75) Selective Growth and Characterization of GaAs Nano-Crystals on Si Nano-Tips
O. Skibitzki, I. Prieto, R. Kozak, G. Capellini, P. Zaumseil, Y. Arroyo-Rojas Dasilva, M.D. Rossell, R. Erni, H. von Känel, T. Schroeder
Proc. 10th International Conference on Silicon Epitaxy and Heterostructures (ICSI 2017), 205 (2017)

(76) Structural and Optical Characterization of GaAs Nano-Crystals Selectively Grown on Si Nano-Tips by MOVPE
O. Skibitzki, I. Prieto, R. Kozak, G. Capellini, P. Zaumseil, Y. Arroyo-Rojas Dasilva, M.D. Rossell, R. Erni, H. von Känel, T. Schroeder
Nanotechnology 28, 135301 (2017)
(DFG-DACh)
We present the nanoheteroepitaxial growth of gallium arsenide (GaAs) on nano-patterned silicon (Si) (001) substrates fabricated using a CMOS technology compatible process. The selective growth of GaAs nano-crystals (NCs) was achieved at 570 °C by MOVPE. A detailed structure and defect characterization study of the grown nano-heterostructures was performed using scanning transmission electron microscopy, X-ray diffraction, micro-Raman, and micro-photoluminescence (µ-PL) spectroscopy. The results show single-crystalline, nearly relaxed GaAs NCs on top of slightly, by the SiO2-mask compressively strained Si nano-tips (NTs). Given the limited contact area, GaAs/Si nanostructures benefit from limited intermixing in contrast to planar GaAs films on Si. Even though a few growth defects (e.g. stacking faults, micro/nano-twins, etc.) especially located at the GaAs/Si interface region were detected, the nanoheterostructures show intensive light emission, as investigated by µ-PL spectroscopy. Achieving well-ordered high quality GaAs NCs on Si NTs may provide opportunities for superior electronic, photonic, or photovoltaic device performances integrated on the silicon technology platform.

(77) Reduced Threshold Microdisk Lasers from GeSn/SiGeSn Heterostructures
D. Stange, N. von den Driesch, T. Zabel, B. Marzban, F. Armand-Pilon, D. Rainko, J.-M. Hartmann, G. Capellini, T. Schroeder, H. Sigg, J. Witzens, D. Grützmacher, D. Buca
Proc. IEEE International Conference on Group IV Photonics (GFP 2017), 15 (2017)
(DFG GeSn Laser)

(78) Quantum Confinement Effects in GeSn/SiGeSn Heterostructure Lasers
D. Stange, N. von den Driesch, D. Rainko, T. Zabel, F. Armand-Pilon, Z. Ikonic, G. Capellini, P. Zaumseil, H. Sigg, S. Mantl, D. Grützmacher, D. Buca
Proc. IEEE International Electron Devices Meeting (IEDM 2017), 589 (2017)
(DFG GeSn Laser)

(79) Dielectrophoretic Functionalization of Nanoelectrode Arrays for the Detection of Influenza Viruses
S. Stanke, Ch. Wenger, F.F. Bier, R. Hölzel
European Biophysics Journal 46, S337 (2017)
(BioBic)

(80) Towards High Frequency Heterojunction Transistors: Electrical Characterization of N-Doped Amorphous Silicon-Graphene Diodes
C. Strobel, C.A. Chavarin, J. Kitzmann, G. Lupina, Ch. Wenger, M. Albert, J.W. Bartha
Journal of Applied Physics 121, 245302 (2017)
(FFLEXCOM (D020))
N-type doped amorphous hydrogenated silicon (a-Si:H) is deposited on top of graphene (Gr) by means of very high frequency plasma-enhanced chemical vapor deposition (VHF-PECVD). In order to preserve the structural integrity of the monolayer graphene, a plasma excitation frequency of 140 MHz was applied during the a-Si:H deposition. Raman spectroscopy results indicate the absence of a defect peak in the graphene spectrum after the VHF-PECVD of (n)-a-Si:H. The diode junction between (n)-a-Si:H and graphene was characterized using temperature dependent current-voltage (IV) and capacitance-voltage (CV) measurements, respectively. We demonstrate that the current at the (n)-a-Si:H-graphene interface is dominated by thermionic emission and recombination in the space charge region. The Schottky barrier height (qΦB), derived by temperature dependent IV-characteristics, is about 0.4 eV. We have demonstrated that (n)-a-Si:H-graphene junctions are a promising technology approach for high frequency heterojunction transistors.

(81) Electrical and Optical Properties Improvement of GeSn Layers Formed at High Temperature under Well-Controlled Sn Migration
N. Taoka, G. Capellini, V. Schlykow, M. Montanari, P. Zaumseil, O. Nakatsuka, S. Zaima, T. Schroeder
Materials Science in Semiconductor Processing 57, 48 (2017)
Electrical and optical properties of GeSn layers formed at various growth conditions under changing deposition temperature (Td) and deposition speed (vd) were systematically investigated. A high Sn content of 3.0% leads to high electron mobility and electron concentration for an as-deposited sample compared with the Sn content of 1.9%. Subsequent annealing at 550 °C after the growth is effective for improving the mobility and the activated carrier concentration. Moreover, the high vd and Td growth makes it possible to get clear photoluminescence (PL) signal from the band-to-band transition of the GeSn layers. The annealing at 550 °C leads to the high and sharp PL spectra compared with those for the as-deposited samples. Consequently, we found that the high vd and Td growth of the GeSn layers suppressing the Sn migration is quite important for getting electrical and optical properties to realize future electrical and optical devices.

(82) Optical Recombination and Non-Radiative Carrier Dynamics in Heavy Doped Ge/Si Layers
M. Virgilio, M.R. Barget, Y. Yamamoto, L.-W. Nien, W.M. Klesse, T. Schroeder, G. Capellini
Proc. 10th International Conference on Silicon Epitaxy and Heterostructures (ICSI 2017), 79 (2017)
(Ge Laser)

(83) Defects in Monocrystalline Silicon
W. von Ammon, A. Sattler, G. Kissinger
Springer Handbook of Electronic and Photonic Materials, 2nd Edition, Editors: S. Kasap, P. Capper, Chapter 5. Defects in Monocrystalline Silicon, Springer, 111 (2017)
(Future Silicon Wafers)

(84) Epitaxy of Direct Bandgap Group IV Heterostructure Lasers
N. von den Driesch, D. Stange, D. Rainko, P. Zaumseil, G. Capellini, J.-M. Hartmann, T. Schroeder, S. Mantl, D. Grützmacher, D. Buca
Proc. IEEE 14th International Conference on Group IV Photonics (GFP 2017), 175 (2017)
(Ge Laser)

(85) Photoluminescence of Phosphorus Doped Ge on Si (100)
Y. Yamamoto, M.R. Barget, G. Capellini, N. Taoka, M. Virgilio, P. Zaumseil, A. Hesse, T. Schroeder, B. Tillack
Materials Science in Semiconductor Processing 70, 111 (2017)
Photoluminescence (PL) of selectively grown phosphorus (P) doped germanium (Ge) is investigated. 350–600 nm thick P-doped Ge is grown on 100 nm thick P-doped Ge buffer layer, which is annealed at 800 °C before the main part of Ge deposition. In the case of Ge deposited at 325 °C, approximately two times higher PL intensity is observed by P doping of ~3.2×1019 cm−3. Further increase of PL intensity by a factor of 1.5 is observed by increasing the growth temperature from 325 °C to 400 °C due to improved crystal quality. Varying
PH3 partial pressure at 400 °C, red shift of the PL occurred with increasing P concentration due to higher bandgap narrowing. With increasing P concentration up to ~1.4×1019 cm−3 at 400 °C the PL peak intensity increases by filling electrons into the L valley and decreases due to enhanced point defect concentration and degraded crystallinity. By post-annealing at 500–800 °C, the PL intensity is further increased by a factor of 2.5 because of increased active P concentration and improved crystal quality. Reduced direct bandgap energy by introducing tensile strain is also observed.

(86) Fully Coherent Ge Islands Growth on Si Nano-Pillars by Selective Epitaxy
Y. Yamamoto, P. Zaumseil, M.A. Schubert, G. Capellini, F. Montalenti, T. Schroeder, B. Tillack
Materials Science in Semiconductor Processing 70, 30 (2017)
Our recent experimental results of Ge nanoheteroepitaxy (NHE) on Si nanopillars (NPs) are reviewed to confirm the possibility of relaxed Ge growth on Si without misfit dislocations (MDs) formation by elastic deformation. Selective Ge growth is performed by using reduced pressure chemical vapor deposition (CVD) on two types of Si NPs with thermal SiO2 or CVD SiO2 sidewalls and on Si nanoislands (NIs) on SiO2. By using thermal SiO2 sidewall, compressive strain is generated in the Si pillar and fixed by the thermal SiO2. This results in an
incoherent Ge growth on Si NPs due to MD formation. By using CVD SiO2 sidewall, tensile strain formation due to thermal expansion during prebake for Ge epi process is observed. However, strain in Si due to Ge growth is not dominant. By introducing a Si0.5Ge0.5 buffer layer, no MD and stacking faults are observed by cross section TEM. The shape of Ge on Si NPs becomes more uniform due to improved crystal quality. On Si NIs on SiO2, a clear compliance effect is observed after Ge growth. Coherent growth of Ge on Si is also realized on Si NIs by
using Si0.5Ge0.5 buffer.

(87) A Self-Ordered, Body-Centered Tetragonal Superlattice of SiGe Nanodot Growth by Reduced Pressure CVD
Y. Yamamoto, P. Zaumseil, G. Capellini, M.A. Schubert, A. Hesse, M. Albani, R. Bergamaschini, F. Montalenti, T. Schroeder, B. Tillack
Nanotechnology 28, 485303 (2017)
Self-ordered three-dimensional body-centered tetragonal (BCT) SiGe nanodot structures are
fabricated by depositing SiGe/Si superlattice layer stacks using reduced pressure chemical vapor deposition. For high enough Ge content in the island (>30%) and deposition temperature of the Si spacer layers (T>700 °C), we observe the formation of an ordered array with islands arranged in staggered position in adjacent layers. The in plane periodicity of the islands can be selected by a suitable choice of the annealing temperature before the Si spacer layer growth and of the SiGe dot volume, while only a weak influence of the Ge concentration is observed. Phasefield simulations are used to clarify the driving force determining the observed BCT ordering, shedding light on the competition between heteroepitaxial strain and surface-energy minimization in the presence of a non-negligible surface roughness.

(88) Self-Ordered Body-Centered SiGe Nanodot Growth by Reduced Pressure CVD
Y. Yamamoto, P. Zaumseil, G. Capellini, A. Hesse, M. Albani, F. Montalenti, T. Schroeder, B. Tillack
Proc. 10th International Conference on Silicon Epitaxy and Heterostructures (ICSI 2017), 135 (2017)

(89) Photoluminescence of Phosphorus Atomic Layer Doped Ge
Y. Yamamoto, L.-W. Nien, G. Capellini, I. Costina, M.A. Schubert, P. Zaumseil, A. Hesse, J. Murota, T. Schroeder, B. Tillack
Proc. 10th International Conference on Silicon Epitaxy and Heterostructure (ICSI 2017), 81 (2017)

(90) Abrupt SiGe and Si Profile Fabrication by Introducing Carbon Delta Layer
Y. Yamamoto, P. Zaumseil, M.A. Schubert, A. Hesse, J. Murota, B. Tillack
ECS Journal of Solid State Science and Technology 6(8), P531 (2017)


High quality and steep Si/Si0.5Ge0.5/Si profile is fabricated by introducing a C delta layer at the interface using reduced pressure chemical vapor deposition system. The Si0.5Ge0.5 and Si layers are deposited by H2-SiH4-GeH4 at 500°C and H2-Si2H6 at 500°C to 575°C, respectively. By introducing a C delta layer at the surface, roughening of the Si0.5Ge0.5 surface is maintained at 575°C due to suppressed surface migration of Si and Ge as well as defect injection into the Si0.5Ge0.5 layer resulting in high crystallinity Si cap layer growth. Adsorbed CH3 species at the surface are preventing the epitaxial Si cap layer growth at 500°C, but it is possible to deposit high quality epitaxial Si at higher temperature because of hydrogen-desorption from adsorbed CH3. Interdiffusion of Si and Ge at the interface is observed at 525°C in the case of sample without C delta layers, but the interdiffusion is suppressed even at 575°C by introducing C delta layers.


(91) Photoluminescence of Phosphorus Atomic Layer Doped Ge Grown on Si
Y. Yamamoto, L.-W. Nien, G. Capellini, I. Costina, M.A. Schubert, W. Seifert, S.A. Srinivasan, R. Loo, G. Scappucci, D. Sabbagh, A. Hesse, J. Murota, T. Schroeder, B. Tillack
Semiconductor Science and Technology 32, 104005 (2017)
Improvement of photoluminescence (PL) of Phosphorus (P) doped Ge by P atomic layer doping (ALD) is investigated. Fifty P delta layers (DL) of 8×1013 cm-2 separated by 4 nm Ge spacer are deposited at 300oC on a 700 nm thick P-doped Ge buffer layer of 1.4×1019 cm-3. A high P concentration region of 1.6×1020 cm-3 with abrupt P delta profiles is formed by the P-ALD process. Compared to the P-doped Ge buffer layer, a reduced PL intensity is observed, which might be caused by a higher density of point defects in the P delta doped Ge layer. The peak position is shifted by ~0.1 eV towards lower energy, indicating an increased active carrier concentration in the P-delta doped Ge layer. By introducing an annealing at 400oC to 500oC after each Ge spacer deposition, P desorption and diffusion is observed resulting in relatively uniform P profiles of ~2×1019 cm-3. Increased PL intensity and red shift of the PL peak are observed due to improved crystallinity and higher active P concentration.

(92) Asymmetry Current Voltage Behaviors of Ni/HfO2/TiN Memory Structures for Self-Rectifying Resistive Switching Memory Using Quantum Tunneling Phenomenon
M.J. Yun, S. Kim, Ch. Wenger, H.-D. Kim
Proc. International Conference on Electronics, Communication and Information (ICEIC 2017), 653 (2017)
(Non-Volatile Memory (NVM))

(93) Fast In-Situ X-Ray Analysis of Ni Silicide Formation
P. Zaumseil, D. Wolansky
Physica Status Solidi B 254(7), 1600859 (2017)
(Dotseven)
Metal silicides are the preferred contact materials for metal-oxide semiconductor (MOS) structures. With further technology development, the materials changed within the last decades toward lower resistivity and lower thermal budget. Currently NiSi partly further stabilized with Pt or Pd is the material of choice. The understanding of phase transformation processes and structural features is of great importance for production process optimization. Here we present a fast laboratory-based in situ X-ray diffraction method with two different experimental arrangements (Bragg–Brentano and grazing incidence) optimized concerning the materials texture. Its application is demonstrated for the transformation of Ni to different Ni silicide phases starting with a 46 nm thick Ni layer sputtered on a Si(001) substrate and covered with TiN. Activation energies for the Ni to Ni2Si (1.55 0.13) eV and the Ni2Si to NiSi transition (1.30 0.15) eV are measured by repeating fast diffraction scans over a limited angular range under isothermal conditions and analyzing the diffraction peak height versus time.

(94) X-Ray Characterization of Self-Organized Periodic Body-Centred Tetragonal Lattices of SiGe Dots
P. Zaumseil, Y. Yamamoto, G. Capellini
Physica Status Solidi C 14(7), 1700004 (2017)

(95) Fast In-Situ X-Ray Analysis of Ni Silicide Formation
P. Zaumseil, D. Wolansky
Physica Status Solidi B 254(7), 1600859 (2017)
(Aeternitas)
Metal silicides are the preferred contact materials for metal-oxide semiconductor (MOS) structures. With further technology development, the materials changed within the last decades toward lower resistivity and lower thermal budget. Currently NiSi partly further stabilized with Pt or Pd is the material of choice. The understanding of phase transformation processes and structural features is of great importance for production process optimization. Here we present a fast laboratory-based in situ X-ray diffraction method with two different experimental arrangements (Bragg–Brentano and grazing incidence) optimized concerning the materials texture. Its application is demonstrated for the transformation of Ni to different Ni silicide phases starting with a 46 nm thick Ni layer sputtered on a Si(001) substrate and covered with TiN. Activation energies for the Ni to Ni2Si (1.55 0.13) eV and the Ni2Si to NiSi transition (1.30 0.15) eV are measured by repeating fast diffraction scans over a limited angular range under isothermal conditions and analyzing the diffraction peak height versus time.

Die Website ist für moderne Browser konzipiert. Bitte verwenden Sie einen aktuellen Browser.