Überschrift

Publikationen 2023

Script list Publications

(1) Non-Profiled Semi-Supervised Horizontal Attack Against Elliptic Curve Scalar Multiplication using Support Vector Machines
M. Aftowicz, I. Kabin, Z. Dyka, P. Langendörfer
Proc. 26th Euromicro Conference Series on Digital System Design (DSD 2023), 708 (2023)
(Total Resilience)

(2) Characterization and Modeling of Single Event Transient Propagation Through Standard Logic Cells
M. Andjelkovic, M. Krstic
Proc. 35. Testmethoden und Zuverlässigkeit von Schaltungen und Systemen (TuZ 2023), 19 (2023)
(6G-TakeOff)
In this paper, the propagation of Single Event Transients (SETs) through standard combinational gates is inves-tigated with electrical simulations. It was shown that significant SET broadening may occur across short combinational paths. By fitting the simulation results, a predictive model for estimating the SET pulse broadening and shrinking caused by individual logic gates has been derived. The proposed model estimates the propagated SET pulse width in terms of gate’s driving strength, load capacitance, supply voltage and temperature. The model was verified on selected short combinational paths, and the rela-tive error with respect to simulations was less than 12%.

(3) Towards a Smart Multi-Sensor Ionizing Radiation Monitoring System
M. Andjelkovic, J.-C. Chen, R.T. Syed, F. Vargas, M. Ulbricht, M. Krstic, S. Ilic, M. Marjanovic, S. Veljkovic, N. Mitrovic, D. Dankovic, G. Ristic, R. Duane, N. Vasovic, A. Jaksic, A. Palma, A. Lallena, M. Carvajal
Proc. 26th Euromicro Conference on Digital System Design (DSD 2023), 286 (2023)
(ELICSIR)

(4) SET and SEU Hardened Clock Gating Cell
M. Andjelkovic, O. Schrape, A. Breitenreiter, M. Krstic
Proc. 38th Conference on Design of Circuits and Integrated Systems (DCIS 2023), (2023)
DOI: 10.1109/DCIS58620.2023.10335985, (6G-TakeOff)

(5) Characterization and Modeling of Single Event Transient Propagation Through Standard Combinational Cells
M. Andjelkovic, M. Krstic
Microelectronics Reliability 150, 115080 (2023)
DOI: 10.1016/j.microrel.2023.115080, (6G-TakeOff)
Analysis of Single Event Transient (SET) effects is an important step in the design of radiation-hardened integrated circuits for space missions. Because the simulation of SET effects in a complex design would be very time-consuming, appropriate SET models are required to simplify and speed up the SET analysis. In this paper, we demonstrate an approach for deriving an analytical SET propagation model from the simulation-based characterization of standard cells. We have performed detailed characterization of standard combinational cells designed in IHP's 130 nm CMOS technology, using Cadence Spectre simulations. The simulation results have shown that significant SET broadening may occur across short combinational paths composed of up to ten logic gates, and supply voltage and temperature variations may enhance the SET broadening. By fitting the simulation results, an analytical model for estimating the SET pulse broadening and shrinking caused by individual logic gates has been derived. The proposed model expresses the propagated SET pulse width in terms of gate's size factor, load capacitance, supply voltage and temperature. Using the proposed model allows for estimating the SET pulse width across any combinational path composed of characterized standard cells. The model was verified on selected combinational paths, and the average relative error with respect to simulations was 6.4 %.

(6) Prediction of Generated Single Event Transient Pulse Width using Artificial Intelligence Methods
M. Andjelkovic, J.-C. Chen, R.T. Syed, M. Marjanovic, G. Ristic, M. Krstic
Proc. 33rd IEEE International Conference on Microelectronics (MIEL 2023), 191 (2023)
DOI: 10.1109/MIEL58498.2023.10315809, (Open 6G Hub)

(7) Voltage Glitch Filter and Detector with Self-Checking Capability for FPGA Implementation
M. Andjelkovic, R.T. Syed, M. Pavlovic, F. Vargas, T. Nikolic, G. Ristic, M. Krstic
Proc. 33rd IEEE International Conference on Microelectronics (MIEL 2023), 143 (2023)
DOI: 10.1109/MIEL58498.2023.10315811, (Open 6G Hub)

(8) Towards High-Reliability Systems Design using Agile Hardware Development Flow
J.-C. Chen, L. Lu, M. Ulbricht, M. Krstic
Proc. RISC-V Summit Europe (RISC-V 2023), (2023)
(Scale4Edge)

(9) Towards High-Reliability Systems Design using Agile Hardware Development Flow
J.-C. Chen, L. Lu, M. Ulbricht, M. Krstic
Proc. RISC-V Summit Europe (RISC-V 2023), (2023)
(Open 6G Hub)

(10) A Machine Learning-Driven EDAC Method for Space-Application Memory
J.-C. Chen, M. Andjelkovic, M. Krstic, F. Vargas
Proc. 36th IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFT 2023), (2023)
DOI: 10.1109/DFT59622.2023.10313560, (Scale4Edge)

(11) A Machine Learning-Driven EDAC Method for Space-Application Memory
J.-C. Chen, M. Andjelkovic, M. Krstic, F. Vargas
Proc. 36th IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFT 2023), (2023)
DOI: 10.1109/DFT59622.2023.10313560, (Open 6G Hub)

(12) Adaptive Lock-Step System for Resilient Multiprocessing Architectures
J.-C. Chen, L. Lu, M. Andjelkovic, M. Ulbricht, M. Krstic
Proc. IEEE Nordic Circuits and Systems Conference (NORCAS 2023), (2023)
DOI: 10.1109/NorCAS58970.2023.10305460, (Open 6G Hub)

(13) Adaptive Lock-Step System for Resilient Multiprocessing Architectures
J.-C. Chen, L. Lu, M. Andjelkovic, M. Ulbricht, M. Krstic
Proc. IEEE Nordic Circuits and Systems Conference (NORCAS 2023), (2023)
DOI: 10.1109/NorCAS58970.2023.10305460, (Scale4Edge)

(14) Impact of Aging on the SEU Immunity of FinFET-Based Embedded Memory Systems
A.A. Constante, T.R. Balen, V.H. Champac, L.B. Poehls, F. Vargas
Microelectronics Reliability 150, 115229 (2023)
DOI: 10.1016/j.microrel.2023.115229
Due to the rapid technology evolution, embedded computing systems have become a pervasive part of daily life, used for tasks ranging from cost-driven edge computing in the Internet of Things (IoT), up to high-end critical applications (such as aerospace and defense). However, no matter which electronic system the memories are embedded in, they tend to occupy up to 90% of the total area of the silicon wafer. On the other hand, accelerated aging (bias-temperature instability: BTI) due to technology scaling has become a major factor in degrading memory immunity to transient faults (single-event upsets: SEUs). In this scenario, memory reliability concerns have been brought to the forefront. In the light of the above mentioned, this paper analyses the impact of aging on the SEU immunity of 14nm FinFET SRAM cell-based embedded memory systems. In this work, Experimental results based on HSpice simulations have shown that under certain operating conditions, for 10-year life span, the 6T SRAM cell SEU immunity can degrade by 58.9% with respect to the fresh cell (not aged). Moreover, cell aging is strongly dependent on parameters like the temperature in which the cell is operating, as well as the frequency by which the content stored in the cell is inverted during its lifetime. A 256MByte memory system was designed. Simulations indicate that the Mean Time Between Failures (MTBF) of this memory system can degrade up to 23.4% after a period of 10 years.

(15) Organic 6G Networks: Vision, Requirements, and Research Approaches
M.-I. Corici, F. Eichhorn, R. Bless, M. Gundall, D. Lindenschmitt, B. Bloessl, M. Petrova, L. Wimmer, R. Kreuch, T. Magedanz, H.D. Schotten
IEEE Access 11, 70698 (2023)
DOI: 10.1109/ACCESS.2023.3293055, (Open 6G Hub)
Building upon the significant number of already published 6G position papers, we are concentrating on the immediate next steps toward turning the research vision of software-centric networks into reality. This is accomplished, by summarizing and assessing the various requirements documents and providing a significant number of specific research directions and approaches in order to fulfill them. This article complements the existing body of work, by focusing on future core networks and their infrastructures, yet maintaining a system-level perspective and progressing in the direction of scoping key technology elements and providing high-potential research approaches for them. Additionally, we rigorously discuss the impact that different technological advancements have on the other parts of the system, to provide a coherent, end-to-end network understanding. This is in strong contrast to current approaches, where from the challenges, each research direction becomes independent and, thus, its advances are potentially cancelled out by the next technology in the chain. By maintaining this system perspective, the adoption of the different technologies becomes easier, as they are developed in unison. To address the requirements in a coherent, holistic, and unified way, we extend our high-level architecture concept named “Organic 6G Networks” towards a comprehensive end-to-end system. A holistic software-centric system, adapting the latest software development advancements from the IT industry. The Organic 6G network provides support for building a streamlined software network architecture and offers the next step on the path towards the development and specification of future mobile networks.

(16) SiGe BiCMOS Technology with Embedded Microchannels based on Cu Pillar PCB Integration Enabling Sub-THz Microfluidic Sensor Applications
E.C. Durmaz, C. Heine, Z. Cao, J. Lehmann, D. Kissinger, M. Wietstruck
Proc. IEEE International 3D System Integration Conference (3DIC 2023), (2023)
DOI: 10.1109/3DIC57175.2023.10155073, (DFG-THz LoC)

(17) The Scale4Edge RISC-V Ecosystem
W. Ecker, P. Adelt, W. Mueller, R. Heckmann, M. Krstic, V. Herdt, R. Drechsler, G. Angst, R. Wimmer, A. Mauderer, R. Stahl, K. Emrich, D. Mueller-Gritschneder, B. Becker, P. Scholl, E. Jentzsch, J. Schlamelcher, K. Grüttner, P. Palomero Bernardo, O. Bringmann, M. Damian, J. Oppermann, A. Koch, J. Bormann, J. Partzsch, C. Mayr, W. Kunz
Proc. RISC-V Summit Europe (RSIC-V 2023), (2023)
(Scale4Edge)

(18) Image-Rejection Up-/Down-Converter LO Distribution Chain for 5G mm-Wave Phased-Array Systems
A. Franzese, N. Maletic, R. Negra, A. Malignaggi
Proc. IEEE Radio & Wireless Symposium (RWS 2023), 14 (2023)
DOI: 10.1109/RWS55624.2023.10046204, (Taranto)

(19) Prototyping Reconfigurable RRAM-based AI Accelerators using the RISC-V Ecosystem and Digital Twins
M. Fritscher, A. Veronesi, A. Baroni, J. Wen, T. Spätling, M.K. Mahadevaiah, N. Herfurth, E. Perez, M. Ulbricht, M. Reichenbach, A. Hagelauer, M. Krstic
Proc. 1st International Conference on High Performance Computing (ISC High Performance 2023), in: Lecture Notes in Computer Science, Springer, LNCS 13999, 500 (2023) 
DOI: 10.1007/978-3-031-40843-4_37, (VE-HEP)

(20) Prototyping Reconfigurable RRAM-based AI Accelerators using the RISC-V Ecosystem and Digital Twins
M. Fritscher, A. Veronesi, A. Baroni, J. Wen, T. Spätling, M.K. Mahadevaiah, N. Herfurth, E. Perez, M. Ulbricht, M. Reichenbach, A. Hagelauer, M. Krstic
Proc. 1st International Conference on High Performance Computing (ISC High Performance 2023), in: Lecture Notes in Computer Science, Springer, LNCS 13999, 500 (2023) 
DOI: 10.1007/978-3-031-40843-4_37, (KI-PRO)

(21) Prototyping Reconfigurable RRAM-based AI Accelerators using the RISC-V Ecosystem and Digital Twins
M. Fritscher, A. Veronesi, A. Baroni, J. Wen, T. Spätling, M.K. Mahadevaiah, N. Herfurth, E. Perez, M. Ulbricht, M. Reichenbach, A. Hagelauer, M. Krstic
Proc. 1st International Conference on High Performance Computing (ISC High Performance 2023), in: Lecture Notes in Computer Science, Springer, LNCS 13999, 500 (2023) 
DOI: 10.1007/978-3-031-40843-4_37, (KI-IoT)

(22) Prototyping Reconfigurable RRAM-based AI Accelerators using the RISC-V Ecosystem and Digital Twins
M. Fritscher, A. Veronesi, A. Baroni, J. Wen, T. Spätling, M.K. Mahadevaiah, N. Herfurth, E. Perez, M. Ulbricht, M. Reichenbach, A. Hagelauer, M. Krstic
Proc. 1st International Conference on High Performance Computing (ISC High Performance 2023), in: Lecture Notes in Computer Science, Springer, LNCS 13999, 500 (2023) 
DOI: 10.1007/978-3-031-40843-4_37, (iCampus II)

(23) Prototyping Reconfigurable RRAM-based AI Accelerators using the RISC-V Ecosystem and Digital Twins
M. Fritscher, A. Veronesi, A. Baroni, J. Wen, T. Spätling, M.K. Mahadevaiah, N. Herfurth, E. Perez, M. Ulbricht, M. Reichenbach, A. Hagelauer, M. Krstic
Proc. 1st International Conference on High Performance Computing (ISC High Performance 2023), in: Lecture Notes in Computer Science, Springer, LNCS 13999, 500 (2023) 
DOI: 10.1007/978-3-031-40843-4_37, (6G-RIC)

(24) Towards a Comprehensive SET Analysis Flow for VLSI Circuits using Static Timing Analysis
C. Georgakidis, D. Valiantzas, S. Simoglou, I. Lilitsis, N. Chatzivangelis, I. Golfos, M. Andjelkovic, C. Sotiriou, M. Krstic
Proc. 36th IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFT 2023), (2023)
DOI: 10.1109/DFT59622.2023.10313533

(25) Efficient Beam Selection for Increased Overall Wireless Network Capacity
P. Geranmayeh, E. Sedunova, E. Grass
Proc. 7th International Conference on Communication and Network Technology (ICCNT 2023), (2023)
(IHP - Humboldt-Universität Joint-Lab)

(26) Efficient Beam Selection for Increased Overall Wireless Network Capacity
P. Geranmayeh, E. Sedunova, E. Grass
Proc. 7th International Conference on Communication and Network Technology (ICCNT 2023), (2023)
(5G-REMOTE)

(27) Bits, Flips and RISCs
N. Gerlin, E. Kaja, M. Ulbricht, F. Vargas, L. Lu, A. Breitenreiter, J.-C. Chen, M. Gomez, A. Tahiraga, S. Prebeck, E. Jentzsch, M. Krstic, W. Ecker
Proc. 26th International Symposium on Design and Diagnostics of Electronic Circuits and Systems (DDECS 2023), 140 (2023)
DOI: 10.1109/LMWT.2023.3265861, (Scale4Edge)

(28) BeGREEN: Beyond 5G Energy Efficient Networking by Hardware Acceleration and AI-Driven Management of Network Functions
M. Ghoraishi, J. Oriol Sallent, M. Catalan-Cid, G. Bielsa, J.-F. Esteban-Rivas, V. Sark, J. Gutierrez Teran, S. Pryor
Proc. 32nd European Conference on Networks and Communications & 6G Summit (EUCNC 2023), 717 (2023)
DOI: 10.1109/EuCNC/6GSummit58263.2023.10188307

(29) Multiple Bit Upset-Tolerant EDAC Approach for Robust Embedded Memory Systems Design
R. Goerl, P. Villa, L. Poehls, F. Vargas
Proc. 35. ITG/GMM/GI - Workshop Testmethoden und Zuverlässigkeit von Schaltungen und Systemen (TuZ 2023), 61 (2023)

(30) Joint Communication and Sensing (JCAS) for 6G Wireless Systems
E. Grass, L. Wimmer, E. Sedunova, V. Sark, Y. Zhao, P. Geranmayeh
Proc. 27. ITG Fachtagung Mobilkommunikation (2023), in: ITG-Fachbericht: Mobilkommunikation – Technologien und Anwendungen, VDE ITG, 311, 30 (2023)
(IHP - Humboldt-Universität Joint-Lab)

(31) Joint Communication and Sensing (JCAS) for 6G Wireless Systems
E. Grass, L. Wimmer, E. Sedunova, V. Sark, Y. Zhao, P. Geranmayeh
Proc. 27. ITG Fachtagung Mobilkommunikation (2023), in: ITG-Fachbericht: Mobilkommunikation – Technologien und Anwendungen, VDE ITG, 311, 30 (2023)
(AgileHyBeams (HU-B))

(32) Joint Communication and Sensing (JCAS) for 6G Wireless Systems
E. Grass, L. Wimmer, E. Sedunova, V. Sark, Y. Zhao, P. Geranmayeh
Proc. 27. ITG Fachtagung Mobilkommunikation (2023), in: ITG-Fachbericht: Mobilkommunikation – Technologien und Anwendungen, VDE ITG, 311, 30 (2023)
(Open 6G Hub)

(33) Fully Parallel Fully Unrolled BP Decoding of LDPC and Polar Codes
A. Hasani, L. Lopacinski, M. Krstic, E. Grass
Proc. 21st IEEE Wireless Communications and Networking Conference (WCNC 2023), (2023)
DOI: 10.1109/WCNC55385.2023.10118633, (PSSS-FEC)

(34) Optimized Planar Printed UCA Configurations for OAM Waves and the Associated OAM Mode Content at the Receiver 
A.Z. Ilic, N.M. Vojnovic, S.V. Savic, E. Grass, M.M. Ilic
International Journal of Communication Systems (IJCS) 36(18), e5623 (2023)
DOI: 10.1002/dac.5623
Utilization of planar printed uniform circular antenna arrays (UCA) to generate the orbital angular momentum (OAM) carrying waves in the millimeter-wave (mmWave) frequency band is advantageous from the viewpoints of easy signal modulation and mode reconfiguration, low cost, low profile, and straightforward integration with the existing broadband wireless infrastructure. The OAM mmWave UCA are highly promising as the enablers of very high transmission data rates required by hybrid 5G/6G optical and wireless communication systems by complementing and enhancing other technologies currently in use. Therefore, we here contribute a detailed electromagnetic analysis of important constraints of such antenna arrangements aimed at short-range multimode OAM wave transmission. We investigate (i) the required antenna array dimensions and optimized UCA arrangements for a particular link range and (ii) the corresponding mode structure of OAM waves in the plane of receiving arrays. Four relatively simple antenna configurations operating in the 60-GHz band are compared. Theoretical assumptions based on ideal OAM modes are critically assessed and, using state-of-the-art numerical electromagnetic analysis, compared to realistically generated OAM waves. The proposed “cyclic transmission setup” resulted in much lower unwanted field components in the region of receiving arrays. RMS magnitudes of unwanted modes are on average about 64% of the received mode, in comparison with 80% (up to 94%) for sequential transmission. The observed mode impurities and mode mixing effects at the receiver indicate the need to dedicate more attention to the system-level design, the development of efficient receiving arrays, the MIMO processing, and the stream separation.

(35) Challenges for Designing an FPGA-based Data Link Layer Processor Dedicated to Sub-THz Communication
Y. Jian, L. Lopacinski, K. Tittelbach-Helmrich, M. Scheide, K. KrishneGowda, E. Grass
Proc. IEEE Workshop on Microwave Theory and Technology in Wireless Communications (MTTW 2023), 1 (2023)
DOI: 10.1109/MTTW59774.2023.10320062, (Open 6G Hub)

(36) Challenges for Designing an FPGA-based Data Link Layer Processor Dedicated to Sub-THz Communication
Y. Jian, L. Lopacinski, K. Tittelbach-Helmrich, M. Scheide, K. KrishneGowda, E. Grass
Proc. IEEE Workshop on Microwave Theory and Technology in Wireless Communications (MTTW 2023), 1 (2023)
DOI: 10.1109/MTTW59774.2023.10320062, (6G-RIC)

(37) Randomized Addressing Countermeasures are Inefficient against Address-Bit SCA
I. Kabin, Z. Dyka, P. Langendörfer
Proc. IEEE International Conference on Cyber Security and Resilience (CSR 2023), 580 (2023)
DOI: 10.1109/CSR57506.2023.10224968, (Total Resilience)

(38) Vulnerability of Atomic Patterns to Simple SCA
I. Kabin, P. Langendörfer, Z. Dyka
Proc. 19th IEEE East-West Design & Test Symposium (EWDTS 2023), (2023)
DOI: 10.1109/EWDTS59469.2023.10297074, (Total Resilience)

(39) Ultra-High Data-Rate Wireless Access & Sensing Demonstrators in D-Band
K. KrishneGowda, M. Scheide, C. Herold, M. Appel, L. Lopacinski, A. Malignaggi, C. Carta, E. Grass
Proc. 32nd European Conference on Networks and Communications & 6G Summit (EUCNC 2023), (2023)
(6G-RIC)

(40) Resiliency in Digital Processing Systems
M. Krstic, M. Andjelkovic, J.-C. Chen, L. Lu, A. Veronesi, M. Ulbricht
Proc. 33rd IEEE International Conference on Microelectronics (MIEL 2023), 19 (2023)
DOI: 10.1109/MIEL58498.2023.10315819, (Scale4Edge)

(41) Addressing Single-Event-Multiple-Transient Faults in Asynchronous RH-Click Controllers
F.A. Kuentzer, C. Georgakidis, C. Sotiriou, M. Krstic
Proc. 36th Symposium on Integrated Circuits and Systems Design (SBCCI 2023), (2023)
DOI: 10.1109/SBCCI60457.2023.10261666, (ENROL)

(42) Addressing Single-Event-Multiple-Transient Faults in Asynchronous RH-Click Controllers
F.A. Kuentzer, C. Georgakidis, C. Sotiriou, M. Krstic
Proc. 36th Symposium on Integrated Circuits and Systems Design (SBCCI 2023), (2023)
DOI: 10.1109/SBCCI60457.2023.10261666, (MORAL)

(43) Bit Error Rate Performance of Real-Valued Spreading Sequences in DSSS-CSK based Wireless Communications
L. Lopacinski, N. Maletic, A. Hasani, M. Nauman, J. Gutiérrez Teran, E. Grass
Proc. IEEE Workshop on Microwave Theory and Technology in Wireless Communications (MTTW 2023), 67 (2023)
DOI: 10.1109/MTTW59774.2023.10320022, (PSSS-FEC)

(44) Amplitude- and Phase-Modulated PSSS for Wide Bandwidth Mixed Analog-Digital Baseband Processors in THz Communication
L. Lopacinski, N. Maletic, R. Kraemer, A. Hasani, J. Gutiérrez Teran, M. Krstic, E. Grass
Proc. 97th IEEE Vehicular Technology Conference (VTC 2023), (2023)
DOI: 10.1109/VTC2023-Spring57618.2023.10199923, (PSSS-FEC)

(45) Amplitude- and Phase-Modulated PSSS for Wide Bandwidth Mixed Analog-Digital Baseband Processors in THz Communication
L. Lopacinski, N. Maletic, R. Kraemer, A. Hasani, J. Gutiérrez Teran, M. Krstic, E. Grass
Proc. 97th IEEE Vehicular Technology Conference (VTC 2023), (2023)
DOI: 10.1109/VTC2023-Spring57618.2023.10199923, (6G-RIC)

(46) Simulation-based Fault Injection on Ibex Core with UVM Environment
L. Lu, J.-C. Chen, M. Ulbricht, M. Krstic
Proc. RISC-V Summit Europe (RISC-V 2023), 1 (2023)
(VE-HEP)

(47) NLP Powered Intent Based Network Management for Private 5G Networks
J. Mcnamara, D. Camps-Mur, M. Goodarzi, H. Frank, L. Chinchilla-Romero, F. Canellas, A. Fernández-Fernández, S. Yan
IEEE Access 11, 36642 (2023)
DOI: 10.1109/ACCESS.2023.3265894
Intent driven networking holds the promise of simplifying network operations by allowing operators to use declarative, instead of imperative, interfaces. Adoption of this technology for 5G and beyond networks is however still in its infancy, where the required architectures, platforms, interfaces and algorithms are still being discussed. In this work, we present the design and implementation of a novel intent based platform for private 5G networks powered by a Natural Language Processing (NLP) interface. We demonstrate how our platform simplifies network operations in three relevant private network use cases, including: i) an intent based slice provisioning use case, ii) an intent based positioning use case, and iii) an intent based service deployment use case. Finally, all use cases are benchmarked in terms of intent provisioning time.

(48) Techno-Economic Analysis Highlighting Aspects of 5G Network Deployments at Railway Environments
I. Mesogiti, E. Theodoropoulou, F. Setaki, G. Lyberopoulos, K. Stamatis, P.K. Chartsias, N. Makris, P. Flegkas, J. Gutiérrez Teran, C. Politi, C. Tranoris, M. Anastasopoulos, A. Tzanakaki
Proc. International Conference on Artificial Intelligence Applications and Innovations (AIAI 2022), in: Artificial Intelligence Applications and Innovations. AIAI 2023 IFIP WG 12.5 International Workshops, Springer, IFIPAICT 677, 139 (2023)
DOI: 10.1007/978-3-031-34171-7_11, (5G-VICTORI)

(49) GPS-Spoofing Attack Detection Mechanism for UAV Swarms
P. Mykytyn, M. Brzozowski, Z. Dyka, P. Langendörfer
Proc. 11th International Conference on Cyber-Physical Systems and Internet-of-Things (CPS&IoT 2023), 18 (2023)
DOI: 10.1109/MECO58584.2023.10154998, (iCampus)

(50) 6G and Beyond: Synchronization Challenges and Solutions with OTFS Modulation using SDR
M. Nauman, L. Lopacinski, N. Maletic, M. Scheide, M. Krstic, E. Grass
Proc. 31st Telecommunications Forum (TELFOR 2023), (2023)
DOI: 10.1109/TELFOR59449.2023.10372652, (PSSS-FEC)

(51) 6G and Beyond: Hardware-in-the-Loop Experiments with OTFS Modulation using SDR
M. Nauman, L. Lopacinski, ​​​​​​​N. Maletic, M. Scheide, M. Krstic, E. Grass
Proc. IEEE Workshop on Microwave Theory and Technology in Wireless Communications (MTTW 2023), 72 (2023)
DOI: 10.1109/MTTW59774.2023.10320001, (PSSS-FEC)

(52) A Fully Integrated Wideband D-Band Receiver for 6G Applications
G. Panic, C. Herold, A. Karakuzulu
Proc. 31st Telecommunications Forum (TELFOR 2023), (2023)
DOI: 10.1109/TELFOR59449.2023.10372681, (6GKom)

(53) Experimental Assessment of Multilevel RRAM-based Vector-Matrix Multiplication Operations for In-Memory Computing
E. Perez-Bosch Quesada, M.K Mahadevaiah, T. Rizzi, J. Wen, M. Ulbricht, M. Krstic, Ch. Wenger, E. Perez
IEEE Transactions on Electron Devices 70(4), 2009 (2023)
DOI: 10.1109/TED.2023.3244509, (KI-PRO)
Resistive random access memory (RRAM)-based hardware accelerators are playing an important role in the implementation of in-memory computing systems for artificial intelligence applications. RRAM technology enables parallel vector-matrix multiplication (VMM) operations performed during the inference phase of artificial neural networks, setting the resistive state of the devices as synaptic weights within the neural network. The stochastic nature of such technology must be taken into consideration in order to minimize the accuracy degradation due to undesirable resistive changes after a certain number of operations. In this study, we program two different RRAM sub-arrays composed by 8-by-8 one-transistor-one resistor (1T1R) cells following two different distributions of conductive levels and we analyze their robustness during 1000 consecutive VMM operations. The resistance of the devices under study is monitored during the whole experiment and we could observe different resistive drift/relaxation phenomena that degrades the accuracy of the operations. The trade-off between linearly distributing the resistive states of the RRAM cells and their robustness against nonidealities is evaluated in both, analog and digital domain for future implementation of in-memory computing hardware systems.

(54) Experimental Assessment of Multilevel RRAM-based Vector-Matrix Multiplication Operations for In-Memory Computing
E. Perez-Bosch Quesada, M.K Mahadevaiah, T. Rizzi, J. Wen, M. Ulbricht, M. Krstic, Ch. Wenger, E. Perez
IEEE Transactions on Electron Devices 70(4), 2009 (2023)
DOI: 10.1109/TED.2023.3244509, (MIMEC)
Resistive random access memory (RRAM)-based hardware accelerators are playing an important role in the implementation of in-memory computing systems for artificial intelligence applications. RRAM technology enables parallel vector-matrix multiplication (VMM) operations performed during the inference phase of artificial neural networks, setting the resistive state of the devices as synaptic weights within the neural network. The stochastic nature of such technology must be taken into consideration in order to minimize the accuracy degradation due to undesirable resistive changes after a certain number of operations. In this study, we program two different RRAM sub-arrays composed by 8-by-8 one-transistor-one resistor (1T1R) cells following two different distributions of conductive levels and we analyze their robustness during 1000 consecutive VMM operations. The resistance of the devices under study is monitored during the whole experiment and we could observe different resistive drift/relaxation phenomena that degrades the accuracy of the operations. The trade-off between linearly distributing the resistive states of the RRAM cells and their robustness against nonidealities is evaluated in both, analog and digital domain for future implementation of in-memory computing hardware systems.

(55) Optical Fault Injection Attacks against Different Logic and Memory Cells
D. Petryk, Z. Dyka
Proc. 11th Prague Embedded Systems Workshop (PESW 2023), 24 (2023)
(Total Resilience)

(56) On the Influence of the Laser Illumination on the Logic Cells Current Consumption
D. Petryk, Z. Dyka, M. Krstic, J. Belohoubek, P. Fiser, F. Steiner, T. Blecha, P. Langendörfer, I. Kabin
Proc. 30th IEEE International Conference on Electronics, Circuits and Systems (ICECS 2023), (2023)
DOI: 10.1109/ICECS58634.2023.10382757, (Total Resilience)

(57) Applicability of OCR Engines for Text Recognition in Vehicle Number Plates, Receipts and Handwriting
U. Poudel, A.M. Regmi, Z. Stamenkovic, S.P. Raja
Journal of Circuits, Systems, and Computers (JCSC) 32(18), 2350321 (2023)
DOI: 10.1142/S0218126623503218
Optical character recognition (OCR) is a computer vision technique that enables computers to recognize text from images. Text detection and computer vision have made significant advancements, leading to the development of various OCR technologies. However, selecting the most suitable OCR system for a specific purpose has become a challenging task. This research paper aims to explain the theoretical concepts and mathematical formulas underlying OCR engines, providing a better understanding of their functioning and performance. The analysis covers various aspects, including the theories, algorithms, and techniques employed by OCR engines. The paper presents experiments conducted on five different image categories: vehicle number plates, receipts, handwriting, symbols and plain text images. Evaluation metrics such as Character Error Rate (CER), Word Error Rate (WER), Insertion Error Rate (IER), Deletion Error Rate (DER), End-to-end recognition rate (EEER), Word Error Rate (WER), Recall, Precision, and F1-score were utilized. The findings reveal that OCR systems perform well on plain documents, with recall and F1-score values exceeding 0.85 and 0.8, respectively. However, there are still areas for improvement in OCR, which are discussed in detail in this paper. This research provides valuable insights for researchers, developers, and practitioners interested in employing OCR technology for their commercial projects.

(58) SNR Maximization in Beyond Diagonal RIS-Assisted Single and Multiple Antenna Links
I. Santamaria, M. Soleymani, E. Jorswieck, J. Gutierrez Teran
IEEE Signal Processing Letters 30, 923 (2023)
DOI: 10.1109/LSP.2023.3296902, (6G-RIC)
Reconfigurable intelligent surface (RIS) architectures not limited to diagonal phase shift matrices have recently been considered to increase their flexibility in shaping the wireless channel. One of these beyond-diagonal RIS or BD-RIS architectures leads to a unitary and symmetric RIS matrix. In this letter, we consider the problem of maximizing the signal-to-noise ratio (SNR) in single and multiple antenna links assisted by a BD-RIS. The Max-SNR problem admits a closed-form solution based on the Takagi factorization of a certain complex and symmetric matrix. This allows us to solve the max-SNR problem for SISO, SIMO, and MISO channels.

(59) Interference Leakage Minimization in RIS-Assisted MIMO Interference Channels
I. Santamaria, M. Soleymani, E. Jorswieck, J. Gutierrez Teran
Proc. International Conference on Acoustics, Speech, & Signal Processing (ICASSP 2023), (2023)
DOI: 10.1109/ICASSP49357.2023.10094656, (6G-RIC)

(60) Enhanced Object Localization using a Beamsteering mmWave Communication System
E. Sedunova, N. Maletic, D. Cvetkovski, E. Grass
Proc. 6th International Conference on Advanced Communication Technologies and Networking (CommNet 2023), (2023)
DOI: 10.1109/CommNet60167.2023.10365256, (Open 6G Hub)
This work focuses on object localization algorithms using channel impulse responses (CIR) of beamsteering millimeter wave (mmWave) communication systems. Without limiting generality, the transmitter and receiver are considered to reside in the two focal points of ellipses, while objects are positioned at the perimeter of those ellipses. The idea is inspired by bistatic RADAR systems, using a communication link that can simultaneously serve for sensing in a joint communication and sensing (JCAS) scenario. For experimental verification, we performed the channel measurements in an anechoic chamber. The main contribution of this work is developing an approach for enhancing object identification using antenna pattern deconvolution and improving the visual representation of the obtained data. Furthermore, the paper investigates the impact of the angular step size on the resolution of found objects for a fixed beam width. Our proposed method enhances object identification and reconstruction compared to prior research.

(61) Enhanced Object Localization using a Beamsteering mmWave Communication System
E. Sedunova, N. Maletic, D. Cvetkovski, E. Grass
Proc. 6th International Conference on Advanced Communication Technologies and Networking (CommNet 2023), (2023)
DOI: 10.1109/CommNet60167.2023.10365256, (AgileHyBeams (HU-B))
This work focuses on object localization algorithms using channel impulse responses (CIR) of beamsteering millimeter wave (mmWave) communication systems. Without limiting generality, the transmitter and receiver are considered to reside in the two focal points of ellipses, while objects are positioned at the perimeter of those ellipses. The idea is inspired by bistatic RADAR systems, using a communication link that can simultaneously serve for sensing in a joint communication and sensing (JCAS) scenario. For experimental verification, we performed the channel measurements in an anechoic chamber. The main contribution of this work is developing an approach for enhancing object identification using antenna pattern deconvolution and improving the visual representation of the obtained data. Furthermore, the paper investigates the impact of the angular step size on the resolution of found objects for a fixed beam width. Our proposed method enhances object identification and reconstruction compared to prior research.

(62) Intelligence and Motion Models of Continuum Robots: An Overview
O. Shamilyan, I. Kabin, Z. Dyka, O. Sudakov, A. Cherninsky, M. Brzozowski, P. Langendörfer
IEEE Access 11, 60988 (2023)
DOI: 10.1109/ACCESS.2023.3286300, (Total Resilience)
Many technical solutions are bio-inspired. Octopus-inspired robotic arms belong to continuum robots which are used in minimally invasive surgery or for technical system restoration in areas difficult-to-access. Continuum robot missions are bounded with their motions, whereby the motion of the robots is controlled by humans via wireless communication. In case of a lost connection, robot autonomy is required. Distributed control and distributed decision-making mechanisms based on artificial intelligence approaches can be a promising solution to achieve autonomy of technical systems and to increase their resilience. However these methods are not well investigated yet. Octopuses are the living example of natural distributed intelligence but their learning and decision-making mechanisms are also not fully investigated and understood yet. Mechanisms of Distributed Artificial Intelligence can be investigated using a physical continuum robot prototype that is able to perform some basic movements and combine them into sequences of motions by itself. For the experimental investigations a suitable physical prototype has to be selected, its motion control has to be implemented and automated. In this paper, we give an overview combining different fields of research, such as Distributed Artificial Intelligence and continuum robots based on 97 publications. We provide a detailed description of the basic motion control models of continuum robots based on the literature reviewed, discuss different aspects of autonomy and give an overview of physical prototypes of continuum robots.

(63) Successful Simple Side Channel Analysis: Vulnerability of an Atomic Pattern kP Algorithm Implemented with a Constant Time Crypto Library to Simple Electromagnetic Analysis Attacks
A.A. Sigourou, I. Kabin, P. Langendörfer, N. Sklavos, Z. Dyka
Proc. 12th Mediterranean Conference on Embedded Computing (MECO 2023), 167 (2023)
DOI: 10.1109/MECO58584.2023.10154940, (Total Resilience)

(64) Cost-Effective Path Delay Defect Testing using Voltage/Temperature Analysis Based on Pattern Permutation
T. Song, Z. Huang, D. Wang, M. Krstic
Journal of Electronic Testing 39(2), 189 (2023)
DOI: 10.1007/s10836-023-06057-8
As the ICs become more complex, the duration of high-cost specification tests is increasingly important, especially given the total IC expenditure. In our current work, we propose an adaptive test strategy for reducing the duration of delay testing. This method employs pattern permutation with an ML algorithm to improve the test efficiency, followed by an examination of the effect of test performance, temperature, and voltage on the recognition of path delay defects. SPICE simulations under different voltage and temperature conditions with 65-nm CMOS technology were used to validate it. According to the experimental outcomes, when compared to the random ordering method, the proposed method successfully achieves a nearly 7-fold improvement in test quality at identical testing duration or a 25% reduction in the duration at identical test quality. In addition, the method provides the tester with a thorough understanding of the test efficiency contributions.

(65) High-Speed Optical Transceiver Integrated Chipset and Module for On-Board VCSEL-based Satellite Optical Interconnects
L. Sourikopoulos, G. Winzer, A. Peczek, M. Inac, P. Ostrovskyy, K. Tittelbach-Helmrich, G. Panic, G. Fischer, L. Zimmermann, Y. Franz, S. Jones, P. Kushner, U. Marvet, A. Lujambio, N. Garcia, D. Poudereux, M. Bodega, J. Barbero, L. Stampoulidis
Proc. 14th International Conference on Space Optics (ICSO 2022), 12777, 127774K (2023)
DOI: 10.1117/12.2690846, (SIPhoDiAS)

(66) A Machine Learning Approach to Spam Detection in Social Media Feeds
A. Sudhir, L. Joseph, N. Ahmad, S. Awasthi, V. Agarwal, S.P. Raja, Z. Stamenkovic
Proc. 33rd IEEE International Conference on Microelectronics (MIEL 2023), 303 (2023)
DOI: 10.1109/MIEL58498.2023.10315788, (BB-KI-Chips)

(67) Towards Reconfigurable CNN Accelerator for FPGA Implementation
R.T. Syed, M. Andjelkovic, M. Ulbricht, M. Krstic
IEEE Transactions on Circuits and Systems II 70(3), 1249 (2023)
DOI: 10.1109/TCSII.2023.3241154, (Open 6G Hub)
Convolutional Neural Networks (CNNs) have revolutionized many applications in recent years, especially in image classification, video processing, and pattern recognition. This success of CNNs has been a motivating factor for solving even more complex problems involving multiple data modalities. Traditionally, a single CNN accelerator has been optimized for just one task or has been used to perform correlated tasks. We leverage the CNNs capability to learn patterns and use one accelerator to perform multiple uncorrelated tasks from different modalities and achieve an average accuracy above 90%, which would otherwise require three accelerators. Two types of CNN architectures (i.e., fused and branched) are evaluated for three distinct tasks based on accuracy, quantization, pruning, hardware resource utilization, power, and latency. Capitalizing on this, we have further proposed a runtime reconfigurable CNN accelerator supporting fault-tolerant (FT), high-performance (HP), and de-stress (DS) modes.

(68) Towards Reconfigurable CNN Accelerator for FPGA Implementation
R.T. Syed, M. Andjelkovic, M. Ulbricht, M. Krstic
Proc. 14th IEEE Latin American Symposium on Circuits and Systems (LASCAS 2023), 206 (2023)
(Open 6G Hub)

(69) An Approach for Runtime Reconfigurability in Application-Specific CNN Accelerators
R.T. Syed, M. Andjelkovic, M. Ulbricht, M. Krstic
Proc. 35. ITG/GMM /GI-Workshop Testmethoden und Zuverlässigkeit von Schaltungen und Systemen (TuZ 2023), 43 (2023)
(Open 6G Hub)

(70) A Survey on Fault-Tolerant Methodologies for Deep Neural Networks
R.T. Syed, M. Ulbricht, K. Piotrowski, M. Krstic
Measurement Automation Robotics (Pomiary Automatyka Robotyka) 27(2), 89 (2023)
DOI: 10.14313/PAR_248/89, (Space Region)
A significant rise in Artificial Intelligence (AI) has impacted many applications around us, so much so that AI has now been increasingly used in safety-critical applications. AI at the edge is the reality, which means performing the data computation closer to the source of the data, as opposed to performing it on the cloud. Safety-critical applications have strict reliability requirements; therefore, it is essential that AI models running on the edge (i.e., hardware) must fulfill the required safety standards. In the vast field of AI, Deep Neural Networks (DNNs) are the focal point of this survey as it has continued to produce extraordinary outcomes in various applications .i.e medical, automotive, aerospace, defense, etc. Traditional reliability techniques for DNNs implementation are not always practical, as they fail to exploit the unique characteristics of the DNNs. Furthermore, it is also essential to understand the targeted edge hardware because the impact of the faults can be different in ASICs and FPGAs. Therefore, in this survey, first, we have examined the impact of the fault in ASICs and FPGAs, and then we seek to provide a glimpse of the recent progress made towards the fault-tolerant DNNs. We have discussed several factors that can impact the reliability of the DNNs. Further, we have extended this discussion to shed light on many state-of-the-art fault mitigation techniques for DNNs.

(71) OAM Mode Quality Comparisons for Discrete EM Radiating Sources
J. Trajkovic, A. Ilic, S. Savic, N. Maletic, E. Grass, M. Ilic
Proc. IX International School and Conference on Photonics (PHOTONICA 2023), abstr. book 108 (2023)
(OAM-MUX)

(72) LUT-based RRAM Model for Neural Accelerator Circuit Simulation
M. Uhlmann, T. Rizzi, J. Wen, E. Perez-Bosch Quesada, B. Beattie, K. Ochs, E. Pérez, P. Ostrovskyy, C. Carta, Ch. Wenger, G. Kahmen
Proc. 18th ACM International Symposium on Nanoscale Architectures (NANOARCH 2023), 35 (2023)
DOI: 10.1145/3611315.3633273, (MIMEC)

(73) LUT-based RRAM Model for Neural Accelerator Circuit Simulation
M. Uhlmann, T. Rizzi, J. Wen, E. Perez-Bosch Quesada, B. Beattie, K. Ochs, E. Pérez, P. Ostrovskyy, C. Carta, Ch. Wenger, G. Kahmen
Proc. 18th ACM International Symposium on Nanoscale Architectures (NANOARCH 2023), 35 (2023)
DOI: 10.1145/3611315.3633273, (Neutronics)

(74) The TETRISC SoC - A Resilient Quad-Core System based on Pulpissimo
M. Ulbricht, L. Lu, J.-C. Chen, M. Krstic
Proc. RISC-V Summit Europe (2023), (2023)
(Scale4Edge)

(75) PULP Fiction No More - Dependable PULP Systems for Space
M. Ulbricht, Y. Tortorella, M. Rogenmoser, L. Lu, J.-C. Chen, F. Conti, M. Krstic, L. Benini
Proc. 28th IEEE European Test Symposium (ETS 2023), (2023)
DOI: 10.1109/ETS56758.2023.10174164, (Scale4Edge)

(76) The TETRISC SoC - A Resilient Quad-Core System based on the ResiliCell Approach
M. Ulbricht, L. Lu, J.-C. Chen, M. Krstic
Microelectronics Reliability 148, 115173 (2023)
DOI: 10.1016/j.microrel.2023.115173, (Scale4Edge)
Resilient systems require monitoring and prediction of environmental and intrinsic conditions and the ability to adapt to changing circumstances to optimize the trade-off between performance, power consumption, and fault tolerance. This paper presents an approach for enabling a design to achieve resilience. By using a range of reliability sensors and the novel ResiliCells, we have developed the TETRISC System-on-Chip (SoC), which is a multiprocessor system based on the PULPissimo platform. The TETRISC SoC can operate its four cores in different performance and fault tolerance modes based on real-time data, making it ideal for use cases with dynamically changing and reliability critical requirements, such as avionics or aerospace. Additional in-depth studies on possible optimizations demonstrate the flexibility of the ResiliCell approach.

(77) Der TETRISC SoC - Ein resilientes Quad-Core System auf Pulpissimo-Basis
M. Ulbricht, J.-C. Chen, L. Lu, M. Krstic, W. Müller
Proc. 35. ITG/GMM/GI-Workshop Testmethoden und Zuverlässigkeit von Schaltungen und Systemen (TuZ 2023), 9 (2023)
(Scale4Edge)

(78) Artificial Neural Network Accelerator for Classification of In-Field Conducted Noise in Integrated Circuits' DC Power Lines
F. Vargas, D. Borba, J.D. Benfica, R.T. Syed
Proc. 29th IEEE International Symposium on On-Line Testing and Robust System Design (IOLTS 2023), 212 (2023)
DOI: 10.1109/IOLTS59296.2023.10224874

(79) COCHISA Approach: European Core-Chip for Space Applications
F. Vargas, C. Corrado, A. Malignaggi, M. Krstic, D. Verploegen, G. Mannocchi, M. Petri, P. Fontana, U. Lewark, R. Follmann, S. Rochette
Proc. 1st ESA/ESTEC Space Microwave Week (2023), (2023)
(COCHISA)

(80) Localization System Architecture for Enhanced Positioning in Industry 4.0 Applications
R. Vasist, V. Sark, M. Goodarzi, J. Gutierrez Teran, E. Grass
Proc. International Conference on Computing, Networking and Communications (ICNC 2023), 683 (2023)
DOI: 10.1109/ICNC57223.2023.10074001, (5G-CLARITY)

(81) Effects in Commercial p-Channel Power VDMOS Transistors Initiated by Negative Bias Temperature Stress and Irradiation
S. Veljkovic, N. Mitrovic, S. Djoric-Veljkovic, V. Davidovic, I. Manic, E. Zivanovic, S. Stankovic, M. Andjelkovic, G. Ristic, A. Paskaleva, D. Spassov, D. Dankovic
Proc. 33rd IEEE International Conference on Microelectronics (MIEL 2023), 277 (2023)
DOI: 10.1109/MIEL58498.2023.10315932, (ELICSIR)

(82) Using TCAD Simulations to Verify the McWhorter Method for Assessing Trapped Charge in Dielectric
S. Veljkovic, G. Ristic, D. Dankovic, A.J. Palma, M. Andjelkovic, R. Duane
Proc. 33rd IEEE International Conference on Microelectronics (MIEL 2023), 211 (2023)
DOI: 10.1109/MIEL58498.2023.10315943, (ELICSIR)

(83) Media Services in Dense, Static and Mobile Environments Leveraging Edge Deployments
M.-E. Xezonaki, N. Psaromanolakis, P. K. Chartsias, K. Stamatis, D. Kritharidis, V. Theodorou, C. Politi, P. Papaioannou, C. Tranoris, S. Denazis, I. Mesogiti, E. Theodoropoulou, F. Setaki, G. Lyberopoulos, N. Makris, P. Flegkas, J. Gutierrez Teran, M. Anastassopoulos, A. Tzanakaki
Proc. IFIP International Conference on Artificial Intelligence Applications and Innovations (AIAI 2022), in: Artificial Intelligence Applications and Innovations. AIAI 2023 IFIP WG 12.5 International Workshops, Springer, IFIPAICT 677, 66 (2023)
DOI: 10.1007/978-3-031-34171-7_5, (5G-VICTORI)

(84) Development of AI-Hardware Related Curriculum for Universities in Brandenburg and Bavaria: Visions and Experiences from BB-KI Chips
Z. Xiong, M. Werner, O. Korup, M. Krstic
Proc. International Conference on Geomatics Education (ICGE 2023), abstr. 47 (2023)
(BB-KI-Chips)

(85) Integrating AI Hardware in Academic Teaching: Experiences and Scope from Brandenburg and Bavaria
Z. Xiong, D. Stober, M. Krstic, O. Korup, M.I. Arango, H. Li, M. Werner
ISPRS Annals of the Photogrammetry, Remote Sensing and Spatial Information Sciences X-5/W1-2023, 75 (2023)
DOI: 10.5194/isprs-annals-X-5-W1-2023-75-2023, (BB-KI-Chips)
The field of artificial intelligence (AI) has gained increasing importance in recent years due to its potential to sustain growth and prosperity in a disruptive way. However, the role of special hardware for AI is still underdeveloped, and dedicated AI-capable hardware is crucial for effective and efficient processing. Moreover, hardware aspects are often neglected in university teaching, which emphasizes theoretical foundations and algorithmic implementations. As a result, there is a need for courses that focus on AI hardware development and its diverse applications. In response to this need, the BB-KI Chips consortium aims to develop a series of hardware-oriented courses with real-world AI applications. This consortium includes the Technical University of Munich (TUM) and the University of Potsdam (UP), which both offer a wide range of courses that focus on AI basics, AI algorithmic development, general computer architectures, chip design, and as well applications of AI. In the BB-KI-CHIPS project, these different capacities are planned to be tightly integrated into a unified curriculum covering knowledge from chip design over AI algorithms and techniques to applications.

(86) Radar Dataset Synthesis Approach for Gesture Recognition
Y. Zhao, V. Sark, M. Krstic, E. Grass
Proc. 20th European Radar Conference (EuRAD 2023), 193 (2023)
DOI: 10.23919/EuRAD58043.2023.10289560, (Open 6G Hub)

(87) Instantaneous Velocity Estimation of Multiple Targets using Coordinated OFDM RADAR Nodes
Y. Zhao, V. Sark, M. Krstic, E. Grass
Proc. IEEE Workshop on Microwave Theory and Technology in Wireless Communications (MTTW 2023), 89 (2023)
DOI: 10.1109/MTTW59774.2023.10320053, (iCampus)

(88) Gesture Recognition using Multiple mmWave FMCW Radars
Y. Zhao, V. Sark, M. Krstic, E. Grass
Proc. 98th IEEE Vehicular Technology Conference (VTC 2023), (2023)
DOI: 10.1109/VTC2023-Fall60731.2023.10333652, (Open 6G Hub)

(89) Gesture Recognition using Multiple mmWave FMCW Radars
Y. Zhao, V. Sark, M. Krstic, E. Grass
Proc. 98th IEEE Vehicular Technology Conference (VTC 2023), (2023)
DOI: 10.1109/VTC2023-Fall60731.2023.10333652, (iCampus)

(90) Instantaneous Velocity Estimation of Multiple Targets using Coordinated OFDM RADAR Nodes
Y. Zhao, V. Sark, M. Krstic, E. Grass
Proc. IEEE Workshop on Microwave Theory and Technology in Wireless Communications (MTTW 2023), 89 (2023)
DOI: 10.1109/MTTW59774.2023.10320053, (Open 6G Hub)

(91) Radar Dataset Synthesis Approach for Gesture Recognition
Y. Zhao, V. Sark, M. Krstic, E. Grass
Proc. 20th European Radar Conference (EuRAD 2023), 193 (2023)
DOI: 10.23919/EuRAD58043.2023.10289560, (iCampus)

(92) Instantaneous 3D Velocity Estimation using Coordinated OFDM Radar Nodes
Y. Zhao, L. Wimmer, V. Sark, M. Krstic, E. Grass
Proc. 24th International Radar Symposium (IRS 2023), (2023)
DOI: 10.23919/IRS57608.2023.10172411, (iCampus)

(93) Instantaneous 3D Velocity Estimation using Coordinated OFDM Radar Nodes
Y. Zhao, L. Wimmer, V. Sark, M. Krstic, E. Grass
Proc. 24th International Radar Symposium (IRS 2023), (2023)
DOI: 10.23919/IRS57608.2023.10172411, (Open 6G Hub)

Die Website ist für moderne Browser konzipiert. Bitte verwenden Sie einen aktuellen Browser.