Publikationen 2019

Script list Publications

(1) Large-Scale Chemical Vapor Deposition of Graphene on Polycrystalline Nickel Films: Effect of Annealing Conditions
F. Akhtar, J. Dabrowski, M. Lisker, P. Zaumseil, S. Schulze, A. Jouvray, P. Caban, A. Mai, Ch. Wenger, M. Lukosius
Thin Solid Films 690, 137565 (2019)
DOI: 10.1016/j.tsf.2019.137565, (Graphen)
In the present study, 8-in. silicon substrates, covered with thin (200 nm) polycrystalline nickel films have been employed for the growth of graphene by chemical vapor deposition. In order to control the uniformity and coverage of the graphene, thin nickel layers were used due to their less deep grain boundary grooves and ability to store less carbon in comparison with thick nickel films (>500 nm). The preferential sites for the growth of multilayer graphene were influenced by the surface pretreatment of the polycrystalline nickel films at 1025 °C under different ambient conditions (hydrogen and vacuum). Significant differences in the surface morphologies were observed for the annealed nickel films. The growth of larger grains up to ~6 μm for the films annealed in hydrogen could be attributed to hydrogen interstitials. On the other hand, grains up to ~3 μm were extracted for the films annealed in vacuum. Graphene was grown after exposing the annealed Ni films to ethylene at 925 °C. The lower range (42–106 cm−1) of full width at half maxima of the 2D band as determined by Raman spectroscopy was obtained for the films annealed in hydrogen as compared to the ones annealed in vacuum (51–128 cm−1), indicating that the thickness uniformity of graphene was strongly influenced by the surface modifications of nickel films.

(2) Ultrafast Carrier Recombination in Highly N-Doped Ge-on-Si Films
J. Allerbeck, A.J. Herbst, Y. Yamamoto, G. Capellini, M. Virgilio, D. Brida
Applied Physics Letters 114(24), 241104 (2019)
DOI: 10.1063/1.5088012, (Ge Laser)

(3) Control of Etch Pit Formation for Epitaxial Growth of Graphene on Germanium
A.P. Becker, Ch. Wenger, J. Dabrowski
Journal of Applied Physics 126(8), 085306 (2019)
DOI: 10.1063/1.5108774, (Graphen)
Graphene epitaxy on germanium by chemical vapor deposition is a promising approach to integrate graphene into microelectronics, but the synthesis is still accompanied by several challenges, such as the high process temperature, the reproducibility of growth and the formation of etch pits during the process. We show that already the substrate cleaning by pre-annealing in molecular hydrogen, which is crucial to successful and reproducible graphene growth, requires a high temperature and dose. During both substrate cleaning and graphene growth, etch pits can develop under certain conditions and disrupt the synthesis process. We explain the mechanism how these etch pits may form by preferential vaporation of substrate, how substrate topography is related to the state of the cleaning process, and how etch pit formation during graphene growth can be controlled by choice of a sufficiently high precursor flow. Our study provides a timely explanation how graphene can be grown reliably on germanium at high temperature and thereby lays the foundation for further optimization of the growth process.

(4) Large Scale MoS2/Si Photodiodes with Graphene Transparent Electrodes
M. Belete, S. Kataria, S. Riazimehr, G. Lippert, M. Lukosius, D. Schneider, A. Bablich, O. Engström, M.C. Lemme
Proc. 49th European Solid-State Device Research Conference (ESSDERC 2019), 138 (2019)
DOI: 10.1109/ESSDERC.2019.8901801, (Graphen)
.
 

(5) Impedance Matching of THz Plasmonic Antennas
M. Bettenhausen, S. Grüßing, E. Hardt, J. Flesch, F. Römer, C.A. Chavarin, W.M. Klesse, C. You, J. Piehler, G. Capellini, B. Witzigmann
Journal of Infrared, Millimeter, and Terahertz Waves 40(9), 929 (2019)
DOI: 10.1007/s10762-019-00613-0, (DFG Group 4 Plasmonics)
Plasmonic antennas with subwavelength gaps work as sensing devices for molecules for the optical and terahertz (THz) frequency range. In such a configuration, the sensing gap creates a high impedance, in contrast to the antenna itself, which is designed for low ohmic losses. Besides metals, highly doped semiconductors can be used as plasmonic materials for the THz range, which increase the impedance of the antenna while keeping the strong electromagnetic intensity enhancement in the sensing gap. Still, a substantial impedance mismatch remains. In this paper, an approach for matching the gap to the antenna impedance is proposed. First, a germanium semiconductor slab antenna is designed for THz operation, with a dipole resonance at 4 THz. Based on an impedance analysis, an impedance matching element consisting of a graphene sheet is inserted in parallel to the gap. Employing impedance matching, a strong intensity enhancement occurs and the normal dipole mode of the plasmonic antenna is split into a symmetric one at 0.67 THz and an antisymmetric one at 4 THz. The symmetric mode provides a very high-quality factor and a substantial enhancement; furthermore, its resonance can be tuned by the Fermi level adjustment in the graphene. First designs of this structure are computed analytically with a circuit model and are verified by 3D full-wave simulations.

(6) Field-Modulated Graphene/Silicon Schottky Diodes Fabricated in a fully CMOS-Compatible Process Line
C.A. Chavarin, J. Dabrowski, G. Luongo, M. Lisker, A. Jouvray, P. Caban, A. Di Bartolomeo, Ch. Wenger, A. Mai, M. Lukosius
Proc. International Conference Nano-M&D “Properties, Fabrication and Applications of Nano-Materials and Nano-Devices” (Nano-M&D 2019), 63 (2019)
(Graphica)

(7) Electron-Doped SiGe Quantum Well Terahertz Emitters Pumped by FEL Pulses
C. Ciano, M. Montanari, L. Persichetti, L. Di Gaspare, M. Virgilio, L. Bagolini, G. Capellini, M.H. Zoellner, O. Skibitzki, D. Stark, G. Scalari, J. Faist, K. Rew, D.J. Paul, T. Grange, S. Birner, A. Pashkin, M. Helm, L. Baldassarre, M. Ortolani, M. De Seta
Proc. 44th International Conference on Infrared, Millimeter, and Terahertz Waves (IRMMW-THz 2019), (2019)
DOI: 10.1109/IRMMW-THz.2019.8873894, (FLASH)

(8) Control of Electron-State Coupling in Asymmetric Ge/Si-Ge Quantum Wells
C. Ciano, M. Virgilio, M. Montanari, L. Persichetti, L. Di Gaspare, M. Ortolani, L. Baldassarre, M.H. Zoellner, O. Skibitzki, G. Scalari, J. Faist, D.J. Paul, M. Scuderi, G. Nicotra, T. Grange, S. Birner, G. Capellini, M. De Seta
Physical Review Applied 11(1), 014003 (2019)
DOI: 10.1103/PhysRevApplied.11.014003, (FLASH)
Theoretical predictions indicate that the n-type Ge/Si-Ge multi-quantum-well system is the most promising material for the realization of a Si-compatible THz quantum cascade laser operating at room temperature. To advance in this direction, we study, both experimentally and theoretically, asymmetric coupled multi-quantum-well samples based on this material system, that can be considered as the basic building block of a cascade architecture. Extensive structural characterization shows the high material quality of strain-symmetrized structures grown by chemical vapor deposition, down to the ultrathin barrier limit. Moreover, THz absorption spectroscopy measurements supported by theoretical modeling unambiguously demonstrate inter-well coupling and wavefunction tunneling. The agreement between experimental data and simulations allows us to characterize the tunneling barrier parameters and, in turn, achieve highly controlled engineering of the electronic structure in forthcoming unipolar cascade systems based on n-type Ge/Si-Ge multi-quantum-wells.

(9) N-Type Ge/SiGe Multi Quantum-Wells for a THz Quantum Cascade Laser
C. Ciano, L. Di Gaspare, M. Montanari, L. Persichetti, L. Baldassarre, M. Ortolani, G. Capellini, O. Skibitzki, M.H. Zoellner, J. Faist, G. Scalari, D. Stark, D.J. Paul, K. Rew, O. Moutanabbir, S. Mukherjee, T. Grange, S. Birner, M. Virgilio, M. De Seta
ECS Transactions 93(1), 63 (2019)
DOI: 10.1149/09301.0063ecst, (FLASH)
Exploiting intersubband transitions in Ge/SiGe quantum cascade devices provides a way to integrate terahertz light emitters into silicon-based technology. With the view to realizing a Ge/SiGe Quantum Cascade Laser, we present the optical and structural properties of n-type strain-symmetrized Ge/SiGe asymmetric coupled quantum wells grown on Si(001) substrates by means of ultrahigh vacuum chemical vapor deposition. We demonstrate high material quality of strain-symmetrized structures and heterointerfaces as well as control over inter-well coupling and electron tunneling. Motivated by the promising results obtained on ACQWs, which are the basic building block of a cascade structure, we investigate, both experimentally and theoretically, a Ge/SiGe THz QCL design, optimized through a non-equilibrium Green’s function formalism.

(10) Germanium Quantum Wells for Far-Infrared Lasers Assembled Using Silicon-based Heterostructures (FLASH)
C. Ciano, M. Virgilio, M. Montanari, L. Persichetti, L. Di Gaspare, M. Ortolani, L. Baldassarre, L. Bagolini, M.H. Zoellner, O. Skibitzki, D. Stark, G. Scalari, J. Faist, D.J. Paul, K. Rew, M. Scuderi, G. Nicotra, T. Grange, S. Birner, G. Capellini, M. De Seta
Proc. 41st PhotonIcs & Electromagnetics Research Symposium (PIERS 2019), 766 (2019)
(FLASH)

(11) Diagnostic of Graphene on Ge(100)/Si(100) in a 200 mm Wafer Si Technology Environment by Spectroscopic Ellipsometry/Reflectometry
O. Fursenko, M. Lukosius, J. Bauer, C. Villringer, H. Lux, F. Bärwolf, M. Lisker, A. Mai
Journal of Vacuum Science and Technology B 37(6), 062927 (2019)
DOI: 10.1116/1.5122792
The successful integration of graphene into microelectronic devices depends strongly on the availability of fast and nondestructive characterization methods of graphene deposited on large diameter production wafers. Here we demonstrated a diagnostic of graphene on 200 mm Ge(100)/Si(100) wafer performed by fast and nondestructive metrology method based on combination of spectroscopic ellipsometry and reflectometry (SE/R) realized in wafer optical metrology tool. A robust procedure for unambiguous thickness monitoring of all components of a multilayer film stack, including graphene, interface layer GeOx underneath graphene and surface roughness is developed and applied for process control. We found a relationship between the quality of graphene and the growth of GeOx interface layer beneath graphene. The enhancement oxidation of Ge beneath graphene was registered as a long term process. SE/R measurements were validated and complemented by Atomic Force Microscopy (AFM), Scanning Electron Microscopy (SEM), Raman spectroscopy and Secondary Ion Mass Spectrometry (SIMS). Our comparative study shows high potential of optical metrology for graphene quality diagnostics deposited on Ge/Si structures due to its great sensitivity, repeatability and flexibility realized in a nondestructive way.

(12) Diagnostic of Graphene on 200 mm Ge(100)/Si(100) Wafers by Spectroscopic Ellipsometry
O. Fursenko, M. Lukosius, J. Bauer, C. Villringer, M. Fraschke, M. Lisker, A. Mai
Proc. 8th International Conference on Spectroscopic Ellipsometry (ICSE 2019), abstr. book 178 (2019)

(13) Room Temperature Operation of N-Type Ge/SiGe Terahertz Quantum Cascade Lasers Predicted by Non-Equilibrium Green’s Functions
T. Grange, D. Stark, G. Scalari, J. Faist, L. Persichetti, L. Di Gaspare, M. De Seta, M. Ortolani, D.J. Paul, G. Capellini, S. Birner, M. Virgilio
Applied Physics Letters 114(11), 111102 (2019)
DOI: 10.1063/1.5082172, (FLASH)

(14) Oxygen Impurity in Crystalline Silicon
G. Kissinger
Handbook of Photovolatic Silicon, 1st Edition, Editor: D. Yang, Oxygen Impurities in Crystalline Silicon, Springer-Verl., 1 (2019)
DOI: 10.1007/978-3-662-52735-1_20-1, (Future Silicon Wafers)

(15) On the Impact of Strained PECVD Oxide Layers on Oxide Precipitation in Silicon
G. Kissinger, D. Kot, M. Lisker, A. Sattler
ECS Journal of Solid State Science and Technology 8(4), N79 (2019)
DOI: 10.1149/2.0141904jss, (Future Silicon Wafers)
PECVD oxide layers with different layer stress ranging from about 1 MPa to 305 MPa were deposited on silicon wafers with similar concentration of interstitial oxygen. After a thermal treatment consisting of rapid thermal annealing (RTA) and furnace annealing 780 °C 3 h + 1000 °C 16 h in nitrogen the profiles of the oxide precipitate density were investigated. Supersaturations of self-interstitials as function of layer stress were determined by adjusting a modelling results to measured depth profiles of bulk microdefects. The self-interstitial supersaturation generated by RTA at 1250 °C and 1175 °C at the silicon/oxide interface is increasing linearly with increasing layer stress. Values for self-interstitial supersaturation determined on deposited oxide layers after RTA at 1250 °C and 1175 °C are very similar to values published for RTO by Sudo et al. An RTA at 1175°C with a PECVD oxide on top of the wafer is a method to effectively suppress oxygen precipitation in silicon wafers. Nucleation anneals carried out at 650 °C for 4 h and 8 h did not show any effect of PECVD oxide layers on oxide precipitate nucleation.

(16) On the Impact of Strained PECVD Nitride Layers on Oxide Precipitate Nucleation in Silicon
G. Kissinger, D. Kot, I. Costina, M. Lisker
ECS Journal of Solid State Science and Technology 8(9), N125 (2019)
DOI: 10.1149/2.0061909jss, (Future Silicon Wafers)
PECVD nitride layers with different layer stress ranging from about 315 MPa to -1735 MPa were deposited on silicon wafers with similar concentration of interstitial oxygen. After a thermal treatment consisting of nucleation at 650 °C for 4 h or 8 h followed annealing 780 °C 3 h + 1000 °C 16 h in nitrogen, the profiles of the oxide precipitate density were investigated. The binding states of hydrogen in the layers was investigated by FTIR. There is a clear effect of the layer stress on oxide precipitate nucleation. The higher the compressive layer stress is the higher is a BMD peak below the front surface. If the nitride layer is removed after the nucleation anneal the BMD peak below the front surface becomes lower. It is possible to model the BMD peak below the surface by vacancy in-diffusion from the silicon/nitride interface. With increasing duration of the nucleation anneal the vacancy injection from the silicon/nitride interface decreases and with increasing compressive layer stress it increases.

(17) Preface: Gettering and Defect Engineering in Semiconductor Technology (GADEST 2019)
G. Kissinger, D. Kot, H. Richter, M.H. Zoellner
Physica Status Solidi A 216(17), 1900679 (2019)
DOI: 10.1002/pssa.201900679

(18) Transport of Charge Carriers Along Dislocations in Si and Ge
M. Kittler, M. Reiche, B. Schwartz, H. Uebensee, H. Kosina, Z. Stanojevic, O. Baumgartner, T. Ortlepp
Physica Status Solidi A 216(17), 1900287 (2019)
DOI: 10.1002/pssa.201900287, (Future Silicon Wafers)
Experimental observations and quantum mechanical device simulations point to different electronic properties of dislocations in silicon and germanium. The experimental data suggest a supermetallic behavior of the dislocations in Si and thus the high strain in the dislocation core is thought to cause the confinement of the charge carriers, which leads to the formation of a 1D electron gas along a dislocation (quantum wire). The resulting significant increase in the electron concentration corresponds to a marked increase in the drain current of metal–oxide–semiconductor field‐effect transistor (MOSFET). The specific resistance of an individual dislocation in Ge is about nine orders of magnitude higher than for a dislocation in Si. The experimental measurements of the strain in dislocation cores in Ge are still missing. Based on the band structure data, the generation of a strain equivalent to that of the dislocation cores in Si appears to be very challenging because of the transition from an indirect into a direct semiconductor with about tenfold lower strain levels. The lower strain in the dislocation core in germanium may not support the carrier confinement as proposed for the dislocation core of silicon, and consequently 1D electron gases are not expected to form along the dislocations in Ge.

(19) Transport of Charge Carriers Along Dislocations in Si and Ge: A Contrastive Behaviour
M. Kittler, M. Reiche, B. Schwartz
Proc. 18th Gettering and Defect Engineering in Semiconductor Technology (GADEST 2019), abstr. book 148 (2019) 
(Future Silicon Wafers)

(20) Comparison of Plasma-Enhanced Atomic Layer Deposition AlN Films Prepared with Different Plasma Sources
M. Kot, K. Henkel, F. Naumann, H. Gargouri, L. Lupina, V. Wilker, P. Kus, E. Pozarowska, S. Garain, Z. Rouissi, D. Schmeißer
Journal of Vacuum Science and Technology A 37(2), 020913 (2019)
DOI: 10.1116/1.5079628

(21) Entwicklung einer Scherkraftmessung zur qualitativen Analyse und Optimierung von Wafer Bonding Prozessen
P. Krüger, M. Wietstruck, G. Kissinger, M. Lisker, A. Krüger, T. Döhler, J. Schäffner, H. Silz, U. Geißler, M. Kaynak
Proc. 8. MikroSystemTechnik Kongress (MST 2019), 722 (2019)

(22) Simulation and Growth of Graphene for Silicon Microelectronic Applications
G. Lippert, J. Dabrowski, A.P. Becker, M. Lisker, Ch. Wenger, A. Mai, M. Lukosius
Proc. 5th Edition of the European Graphene Forum (EGF 2019), 36 (2019)
(Graphen)

(23) Challenges of Graphene Process Integration in CMOS Technology
M. Lisker, M. Lukosius, R. Lukose, Ch. Wenger, A. Mai
Proc. 236th ECS Meeting (2019)
(GIMMIK)

(24) Challenges of Graphene Process Integration in CMOS Technology
M. Lisker, M. Lukosius, R. Lukose, Ch. Wenger, A. Mai
ECS Transactions 92(4), 201 (2019)
DOI: 10.1149/09204.0201ecst, (GIMMIK)
In this paper we have investigated various steps of graphene device fabrication in a 200 mm wafer Si technology environment. This work has also introduced some of the key process modules which may pave the way to large-scale manufacturing of hybrid graphene-Si components. Although the demonstrated process flow requires further improvements to increase device yield and reduce variability the practical relevance is emphasized by the facts that first the proposed processes and materials enable efficient encapsulation and low-resistance metal-graphene contacts and secondly they are compatible with those used in the large-scale fabrication of Si-based ICs. Among the key factors which are limiting the performance and yield of the graphene are uniformity after transfer, process-related contaminations, and poor adhesion/delamination of graphene during various processing steps. In fact, availability of clean and uniform graphene layers on large diameter wafers (200/300 mm) can be considered as a critical prerequisite to further progress in the process integration of graphene devices in Si technology environment.

(25) Processing and Integration of Graphene in a 200 mm Wafer Si Technology Environment
M. Lisker, M. Lukosius, M. Fraschke, J. Kitzmann, J. Dabrowski, O. Fursenko, P. Kulse, K. Schulz, A. Krüger, J. Drews, S. Schulze, D. Wolansky, A.M. Schubert, J. Katzer, D. Stolarek, I. Costina, A. Wolff, G. Dziallas, F. Coccetti, A. Mai
Microelectronic Engineering 205, 44 (2019)
DOI: 10.1016/j.mee.2018.11.007, (Graphen)
We present insights into processes of cleaning, patterning, encapsulation, and contacting graphene in a 200mm wafer pilot line routinely used for the fabrication of integrated circuits in Si technologies. We demonstrate key process steps and discuss challenges and roadblocks which need to be overcome to enable integration of this material with Si technologies.

(26) Understanding Temperature Impact on Filament-Related HfO2 Solid-State Incandescent Lighting Emission Devices and Performance Enhancement using Patterned Wafer Approaches
Y. Liu, G. Niu, C. Yang, S. Wu, L. Dai, O. Skibitzki
IEEE Electron Device Letters 40(4), 582 (2019)
DOI: 10.1109/LED.2019.2899878

(27) Light Effective Hole Mass in Undoped Ge/SiGe Quantum Wells
M. Lodari, A. Tosato, D. Sabbagh, M.A. Schubert, G. Capellini, A. Sammak, M. Veldhorst, G. Scappucci
Physical Review B 100(4), 041304(R) (2019)
DOI: 10.1103/PhysRevB.100.041304
We report density-dependent effective hole mass measurements in undoped germanium quantum wells. We are able to span a large range of densities (2.0 - 11 x 1011 cm-2) in top-gated field effect transistors by positioning the strained buried Ge channel at different depths of 12 and 44 nm from the surface. From the thermal damping of the amplitude of Shubnikov-de Haas oscillations, we measure a light mass of 0.061me at a density of 2.2 x 1011 cm-2. We confirm the theoretically predicted dependence of increasing mass with density and by extrapolation we find an effective mass of ∼ 0.05me at zero density, the lightest effective mass for a planar platform that demonstrated spin qubits in quantum dots.

(28) Graphene Schottky Junction on Pillar Patterned Silicon Substrate
G. Luongo, A. Grillo, F. Giubileo, L. Iemmo, M. Lukosius, C.A. Chavarin, Ch. Wenger, A. Di Bartolomeo
Nanomaterials 9(5), 659 (2019)
DOI: 10.3390/nano9050659, (Graphen)

(29) Analysis of Parasitic Effects in Filamentary-Switching Memristive Memories using an Approximated Verilog-A Memristor Model
N. Lupo, E. Perez, Ch. Wenger, F. Maloberti, E. Bonizzoni
IEEE Transactions on Circuits and Systems I 66(5), 1935 (2019)
DOI: 10.1109/TCSI.2018.2882993

(30) The Role of Substrate Temperature and Magnetic Filtering for DLC by Cathodic Arc Evaporation
H. Lux, M. Edling, M. Lucci, J. Kitzmann, C. Villringer, P. Siemroth, F. De Matteis, S. Schrader
Coatings (MDPI) 9(5), 354 (2019)
DOI: 10.3390/coatings9050345
Diamond-like carbon (DLC) films were deposited using two different types of high current arc evaporation. The first process used a magnetic particle filter to remove droplets from the plasma. For the second process, the samples were put into a metallic cage which was placed directly above the plasma source. For both processes, we varied the substrate temperature from 21 to 350 °C in order to investigate the temperature effect. The samples were characterized using SEM, AFM, XPS, Raman Spectroscopy, Ellipsometry, Photometry, and Nano Indentation in order to compare both methods of deposition and provide a careful characterization of such DLC films. We found that the sp3 content and the hardness can be precisely adjusted by changing the substrate temperature. Furthermore, in the case of unfiltered deposition, the optical constants can be shifted in the direction of higher absorbance in order to produce black and hard carbon coatings.

(31) Optimized HfO2-based MIM Module Fabrication for Emerging Memory Applications
M.K. Mahadevaiah, M. Lisker, M. Fraschke, St. Marschmeyer, D. Schmidt, Ch. Wenger, E. Perez, A. Mai
Proc. 236th ECS Meeting (2019)

(32) Optimized HfO2-based MIM Module Fabrication for Emerging Memory Applications
M.K. Mahadevaiah, M. Lisker, M. Fraschke, St. Marschmeyer, D. Schmidt, Ch. Wenger, E. Perez, A. Mai
ECS Transactions 92(4), 211 (2019)
DOI: 10.1149/09204.0211ecst
In this work we have investigated the influence of three different fabrication approaches on the electrical behavior of HfO2-based MIM stacks which are most popularly used in RRAM technologies. Two specific MIM layouts are analyzed to verify the electrical performance of the devices. The electrical characterization of the MIM devices are performed on wafer-scale and the characteristics of the devices are compared in terms of leakage currents, breakdown voltages, capacitances and Q-factors. Additionally, the RRAM switching behavior is investigated in terms of forming, reset and set process steps.

(33) Reliability of CMOS Integrated Memristive HfO2 Arrays with Respect to Neuromorphic Computing
M.K. Mahadevaiah, E. Perez, Ch. Wenger, F. Zahari, H. Kohlstedt, A. Grossi, C. Zambelli, P. Olivo, M. Ziegler
Proc. IEEE International Reliability Physics Symposium (IRPS 2019), (2019)
DOI: 10.1109/IRPS.2019.8720552, (NeuroMem)

(34) Towards CMOS Integrated Microfluidics using Dielectrophoretic Immobilization
H. Matbaechi Ettehad, R.K. Yadav, S. Guha, Ch. Wenger
Biosensors (MDPI) 9(2), 77 (2019)
DOI: 10.3390/bios9020077, (BioBic)
Dielectrophoresis is a nondestructive and noninvasive method which is favorable for point-of-care medical diagnostic tests. In this work the feasibility of a CMOS integrated microfluidic device for detecting biocells using dielectrophoresis (DEP) technique was investigated by finite element simulation. The proposed detection system is used to immobilize particles on electrodes while flowing through the microfluidic channel based on the dielectrophoretic (DEP) force and sensing them using the same electrode structures. CMOS compatible interdigitated capacitor (IDC) arrays have been placed into the silicon microfluidic channel. In order to produce the dielectrophoretic force, a fixed frequency voltage small-signal within the range of 1 to maximum 5 V (peak-to-peak) is applied to the IDC. Generation of particles within the microfluidic channel were simulated with COMSOL Multiphysics. COMSOL simulations allowed IDC arrays to be optimized with respect to different cell sizes. Accordingly, in order to have a microsystem platform to be used for different size cells, effective internal and external properties such as geometrical parameters of IDC, voltage, frequency and fluid flow velocity were characterized.

(35) Low-Energy Inference Machine with Multilevel HfO2 RRAM Arrays
V. Milo, C. Zambelli, P. Olivo, E. Perez, O.G. Ossorio, Ch. Wenger, D. Ielmini
Proc. 49th European Solid-State Device Research Conference (ESSDERC), 174 (2019)
DOI: 10.1109/ESSDERC.2019.8901818, (NeuroMem)

(36) Multilevel HfO2-Based RRAM Devices for Low-Power Neuromorphic Networks
V. Milo, C. Zambelli, P. Olivo, E. Perez, M.K. Mahadevaiah, O.G. Ossorio, Ch. Wenger, D. Ielmini
APL Materials 7(8), 081120 (2019)
DOI: 10.1063/1.5108650, (NeuroMem)
Training and recognition with neural networks generally require high throughput, high energy efficiency, and scalable circuits to enable artificial intelligence tasks to be operated at the edge, i.e., in battery-powered portable devices and other limited-energy environments. In this scenario, scalable resistive memories have been proposed as artificial synapses thanks to their scalability, reconfigurability, and high-energy efficiency, and thanks to the ability to perform analog computation by physical laws in hardware. In this work, we study the material, device, and architecture aspects of resistive switching memory (RRAM) devices for implementing a 2-layer neural network for pattern recognition. First, various RRAM processes are screened in view of the device window, analog storage, and reliability. Then, synaptic weights are stored with 5-level precision in a 4 kbit array of RRAM devices to classify the Modified National Institute of Standards and Technology (MNIST) dataset. Finally, classification performance of a 2-layer neural network is tested before and after an annealing experiment by using experimental values of conductance stored into the array, and a simulation-based analysis of inference accuracy for arrays of increasing size is presented. Our work supports material-based development of RRAM synapses for novel neural networks with high accuracy and low-power consumption.

(37) High-Quality N-Type Ge/SiGe Multilayers for THz Quantum Cascade Lasers
M. Montanari, C. Ciano, L. Persichetti, L. Di Gaspare, M. Virgilio, G. Capellini, M.H. Zoellner, O. Skibitzki, D. Stark, G. Scalari, J. Faist, D.J. Paul, T. Grange, S. Birner, M. Scuderi, G. Nicotra, O. Moutanabbir, S. Mukherjee, L. Baldassarre, M. Ortolani, M. De Seta
Proc. 44th International Conference on Infrared, Millimeter, and Terahertz Waves (IRMMW-THz 2019), (2019)
DOI: 10.1109/IRMMW-THz.2019.8874294, (FLASH)

(38) The Electronic Structure of ε-Ga2O3
M. Mulazzi, F. Reichmann, A.P. Becker, W.M. Klesse, P. Alippi, V. Fiorentini, A. Parisini, M. Bosi, R. Fornari
APL Materials 7(2), 022522 (2019)
DOI: 10.1063/1.5054395
The electronic structure of ε-Ga2O3 thin films has been investigated by ab initio calculations and photoemission spectroscopy with UV, soft and hard X-rays to probe the surface and bulk properties. The latter measurements reveal a peculiar satellite structure in the Ga 2p core level spectrum, absent at the surface, and a core-level broadening that can be attributed to photoelectron recoil. The photoemission experiments indicate that the energy separation between valence band and Fermi level is about 4.4 eV, a valence band maximum (VBM) at the Г point and an effective mass of the highest lying bands of - 4.2 free electron masses. The value of the band gap compares well with that obtained by optical experiments and with calculations done using a hybrid density-functional, which also reproduce well the dispersion and density of states.

(39) Near Surface Defect Control by Vacancy Injecting/Out-Diffusing RTA Processes
T. Müller, M. Gehmlich, A. Sattler, E. Daub, A. Miller, D. Kot, G. Kissinger,
Proc. 18th Gettering and Defect Engineering in Semiconductor Technology (GADEST 2019), abstr. book 142 (2019)
(Future Silicon Wafers)

(40) Near-Surface Defect Control by Vacancy Injecting/Out-Diffusing Rapid Thermal Annealing
T. Müller, M. Gehmlich, A. Sattler, G. Kissinger, D. Kot, E. Daub, A. Miller
Physica Status Solidi A 216(17), 1900325 (2019)
DOI: 10.1002/pssa.201900325, (Future Silicon Wafers)
Rapid thermal annealing (RTA) can be applied to dissolve small defects such as voids or small‐sized oxygen precipitates and to manipulate vacancies in a specific depth from the surface. This can be achieved at elevated temperatures around 1300 °C and via NH3 dissociation at the surface at temperatures >1150 °C. In an earlier study (Araki et al., 2013), it had been demonstrated already that even under oxidizing ambient, enhanced bulk micro defects formation around 1300–1350 °C can occur. The near‐surface region is monitored via its homogeneity of precipitation and in‐depth vacancy profiling by Pt‐diffusion. Simulations of defect dissolution during RTA processes are performed up to 1290 °C under different ambient. The size‐dependent defect dissolution behavior is predicted and verified by measurement of the gate oxide integrity.

(41) Operando Diagnostic Detection of Interfacial Oxygen “Breathing” of Resistive Random Access Memory by Bulk-Sensitive Hard X-Ray Photoelectron Spectroscopy
G. Niu, P. Calka, P. Huang, S.U. Sharath, S. Petzold, A. Gloskovskii, K. Fröhlich, Y. Zhao, J. Kang, M.A. Schubert, F. Bärwolf, W. Ren, Z.-G. Ye, E. Perez, Ch. Wenger, L. Alff, T. Schroeder
Materials Research Letters 7(3), 117 (2019)
DOI: 10.1080/21663831.2018.1561535

(42) Advanced Coherent X-Ray Diffraction and Electron Microscopy of Individual InP Nanocrystals on Si Nanotips for III-V-on-Si Electronics and Optoelectronics
G. Niu, S.J. Leake, O. Skibitzki, T. Niermann, J. Carnis, F. Kießling, F. Hatami, E.H. Hussein, M.A. Schubert, P. Zaumseil, G. Capellini, W.T. Masselink, W. Ren, Z.-G. Ye, M. Lehmann, T. Schülli, T. Schroeder, M.-I. Richard
Physical Review Applied 11(6), 064046 (2019)
DOI: 10.1103/PhysRevApplied.11.064046

(43) Sono-Teleconsulting
St. Ortmann, A. Haddadi Esfahani, Ch. Wenger, V. Frauendorf, D. Nürnberg
Proc. 1. digilog-Kongress 2019, 114 (2019)
(Digilog)

(44) CsPbX3/SiOx (X = Cl, Br, I) Monoliths Prepared via a Novel Sol–Gel Route Starting from Cs4PbX6 Nanocrystals
S. Park, M. Ngoc An, G. Almeida, F. Palazon, D. Spirito, R. Krahne, Z. Dang, L. De Trizio, L. Manna
Nanoscale 11(40), 18739 (2019)
DOI: 10.1039/c9nr07766a
We developed a facile synthesis of nanocomposite powders of CsPbX3 nanocrystals (NCs) embedded in silica. The synthesis starts from colloidal Cs4PbX6 NCs that are mixed with tetraethyl orthosilicate in the presence of nitric acid, which triggers the sol–gel reaction yielding the formation of SiOx and the conversion of starting NCs into CsPbX3 ones. The overall reaction delivers CsPbX3 NCs encased in a silica matrix. The resulting CsPbX3/SiOx nano-composite powders exhibited enhanced moisture and thermal stability in air. Also, when mixing different CsPbX3/SiOx samples having diverse anion compositions, no interparticle anion exchange processes were observed, which is a further indication that the silica matrix acts as a robust barrier surrounding the NCs. Finallly, we used these composites as down-converter phosphors on top of a blue light-emitting diode (LED), delivering nearly ideal white light emission with the Commission Internationale de l'Eclairage (CIE) color coordinates (0.32, 0.33).

(45) Analysis of the Statistics of Device-to-Device and Cycle-to-Cycle Variability in TiN/Ti/Al:HfO2/TiN RRAMs
E. Perez, D. Maldonado, C. Acal, J.E. Ruiz-Castro, F.J. Alonso, A.M. Aguilera, F. Jimenez-Molinos, Ch. Wenger, J.B. Roldan
Proc. Conference on Insulating Films on Semiconductors (INFOS 2019), 10A.3 (2019)
(NeuroMem)

(46) Analysis of the Statistics of Device-to-Device and Cycle-to-Cycle Variability in TiN/Ti/Al:HfO2/TiN RRAMs
E. Perez, D. Maldonado, C. Acal, J.E. Ruiz-Castro, F.J. Alonso, A.M. Aguilera, F. Jimenez-Molinos, Ch. Wenger, J.B. Roldan
Microelectronic Engineering 214, 104 (2019)
DOI: 10.1016/j.mee.2019.05.004, (NeuroMem)
In order to study the device-to-device and cycle-to-cycle variability of switching voltages in 4-kbit RRAM arrays, an alternative statistical approach has been adopted by using experimental data collected from a batch of 128 devices switched along 200 cycles. The statistical distributions of switching voltages have been usually studied by using the Weibull distribution. However, this distribution does not work accurately on Al:HfO2-based RRAM devices. Therefore, an alternative approach based on phase-type distributions is proposed to model the forming, reset and set voltage distributions. Experimental results show that in general the phase-type analysis works better than the Weibull one.

(47) Toward Reliable Multi-Level Operation in RRAM Arrays: Improving Post-Algorithm Stability and Assessing Endurance/Data Retention
E. Perez, C. Zambelli, M.K. Mahadevaiah, P. Olivo, Ch. Wenger
IEEE Journal of the Electron Devices Society 7, 740 (2019)
DOI: 10.1109/JEDS.2019.2931769, (NeuroMem)
Achieving a reliable multi-level operation in RRAM arrays is currently a challenging task due to several threats like the post-algorithm instability occurring after the levels placement, the limited endurance, and the poor data retention capabilities at high temperature. In this work we introduced a multi-level variation of the state-of-the-art incremental step pulse with verify algorithm (M-ISPVA) to improve the instability of the LRS levels. This algorithm introduces for the first time the proper combination of current compliance control and program/verify paradigms. The validation of the algorithm for forming and set operations has been performed on 4-kbit RRAM arrays. In addition, we assessed the endurance and the high temperature multi-level retention capabilities after the algorithm application proving a 1k switching cycles stability and a 10 years retention target with temperatures below 100 oC.

(48) Data Retention Investigation in Al:HfO2-based RRAM Arrays by using High-Temperature Accelerated Tests
E. Perez, M.K. Mahadevaiah, C. Zambelli, P. Olivo, Ch. Wenger
Journal of Vacuum Science and Technology B 37(1), 012202 (2019)
(NeuroMem)
In this work the feasibility of using accelerated tests at high temperatures to assess the data retention on RRAM devices was evaluated on Al:HfO2-based 1T1R 4kbit arrays. By annealing the samples at three different temperatures (190, 210, and 230 oC) for 10 h, different distributions of retention failure times were obtained and modelled by using Weibull distributions. Based on the temperature dependency of these distributions, the Arrhenius activation energy of the degradation process was calculated (1.35 eV). In addition, the maximum temperature that guarantee a retention time to failure of 10 years lifetime was extrapolated (120 oC).

(49) Characterization of the Interface-Driven 1st Reset Operation in HfO2-based 1T1R RRAM Devices
E. Perez, M.K. Mahadevaiah, C. Zambelli, P. Olivo, Ch. Wenger
Solid State Electronics 159, 51 (2019)
DOI: 10.1016/j.sse.2019.03.054, (NeuroMem)
In this work, the increase on the lament conductivity during the 1st Reset operation, by using the incremental step pulse with verify algorithm, is investigated in HfO2-based 1T1R RRAM devices. A new approach is proposed in order to explain the increase of conductivity by highlighting the crucial roles played by both metal-oxide interfaces. The top metal-oxide interface (HfO2-x/TixOy) plays a role in the forming operation by creating a strong gradient of oxygen vacancies in the hafnium oxide layer. The bottom metal-oxide interface (TixOyNz/HfO2-x) also creates oxygen vacancies, which strengthen the conductive lament tip near to this interface at the beginning of the 1st Reset, leading to the reported conductivity increase. After the 1st Reset operation the conductive lament stabilizes at the bottom interface suppressing this behavior in the subsequent reset operations. By modifying the programming parameters and the temperature, it was con rmed a constant current increase of about 9 μA during the 1st Reset regardless the operation conditions imposed.

(50) Gradual Reset and Set Characteristics in Yttrium Oxide based Resistive Random Access Memory
S. Petzold, E. Piros, S.U. Sharath, A. Zintler, E. Hildebrandt, L. Molina-Luna, Ch. Wenger, L. Alff
Semiconductor Science and Technology 34(7), 075008 (2019)
DOI: 10.1088/1361-6641/ab220f, (NeuroMem)

(51) PWM-Driven Thermally Tunable Silicon Microring Resonators: Design, Fabrication, and Characterization
P. Pintus, M. Hofbauer, C.L. Manganelli, M. Fournier, S. Gundavarapu, O. Lemonnier, F. Gambini, L. Adelmini, C. Meinhart, C. Kopp, F. Testa, H. Zimmermann, C.J. Oton
Laser & Photonics Reviews 13(9), 1800275 (2019)
DOI: 10.1002/lpor.201800275
Ring resonators are one of the fundamental building blocks of advanced integrated optical circuits. They find applications as nonlinear optical elements, filters, sensors, and switches among others. Here, a comprehensive optimization framework and experimental results of thermally tunable microring resonators in silicon photonics is presented, with a focus on standard silicon photonic foundry processes. In order to minimize the total power consumption, the ring resonators are tuned by applying a pulse-width-modulated electrical signal to the heaters. The thermal performance of integrated silicon and metal heaters are investigated and compared using an effective model validated by the measurement results. The heater power consumption is minimized by optimizing heater cross section, resistance, and metal contact configurations. Using the multiproject wafer run developed at CEA-LETI, it is demonstrated that a metal heater provides 30% lower power consumption compared to an integrated silicon one, reaching a power consumption of 27.53 mW per free spectral range. The measurements are in excellent agreement with the theoretically predicted thermal performance, with a deviation as low as 5%. The proposed framework, supported by the experimental results, will serve as a design guideline set that can be easily adapted for other thermo-optic switches in future silicon photonic applications.

(52) The Electronic Band Structure of the Sn/Ge(001) Interface Studied by Angle-Resolved Photoelectron Spectroscopy
F. Reichmann, E.V.S. Hofmann, G. Capellini, W.M. Klesse
Proc. 8th International Symposium on Control of Semiconductor Interfaces (ISCSI 2019), 119 (2019)

(53) A Modeling Methodology for Resistive RAM based on Stanford-PKU Model with Extended Multilevel Capability
J. Reuben, D. Fey, Ch. Wenger
IEEE Transactions on Nanotechnology 18, 647 (2019)
DOI: 10.1109/TNANO.2019.2922838, (NeuroMem)

(54) Effect of Epitaxial Graphene Morphology on Adsorption of Ambient Species
G. Reza Yazdi, F. Akhtar, I.G. Ivanov, S. Schmidt, I. Shtepliuk, A. Zakharov, T. Iakimov, R. Yakimova
Applied Surface Science 486, 239 (2019)
DOI: 10.1016/j.apsusc.2019.04.247
This work illustrates the impact of atmospheric gases on the surface of epitaxial graphene. The different rate of adsorption on different parts of graphene samples provides a concrete evidence that the surface morphology of graphene plays a significant role in this process. The uneven adsorption occurs only on the surface of the monolayer graphene and not on bilayer graphene. The second monolayer is distinguished and verified by the phase contrast mode of atomic force microscopy and the low energy electron microscopy, respectively. Raman spectroscopy is used to study the strain on the surface of graphene; results indicate that monolayer and bilayer graphene exhibit different types of strain. The bilayer is under more compressive strain in comparison with monolayer graphene that hinders the process of adsorption. However, the wrinkles and edges of steps of the bilayer are under tensile strain, hence, facilitate adsorption. Samples were subjected to X-ray photoelectron spectroscopy which confirms that the adsorbates on the epitaxial graphene are carbon clusters with nitrogen and oxygen contamination. For reversing the adsorption process the samples are annealed and a method for the removal of these adsorbates is proposed.

(55) Dynamics of Crosshatch Patterns in Heteroepitaxy
F. Rovaris, M.H. Zoellner, P. Zaumseil, A. Marzegalli, L. Di Gaspare, M. De Seta, T. Schroeder, P. Storck, G. Schwalb, G. Capellini, F. Montalenti
Physical Review B 100(8), 085307 (2019)
DOI: 10.1103/PhysRevB.100.085307, (Siltronic Project)
Regular surface undulations, called cross-hatch patterns, appearing at the free surface of lattice-mismatched heteroepitaxial films are a key signature of plastic relaxation. Here we show that the dynamics of cross-hatch formation is accurately described by a continuum model based on strain-mediated surface diffusion, provided that a realistic distribution of dislocations is considered. We demonstrate quantitative agreement between our time-dependent simulations and dedicated atomic force microscopy experiments on Si0.92Ge0.08 films grown on Si(001) at various thicknesses, finally shedding light on the origin and on the dynamical behavior of a widely investigated pattern, first observed more than half a century ago.

(56) Shallow and Undoped Germanium Quantum Wells: A Playground for Spin and Hybrid Quantum Technology
A. Sammak, D. Sabbagh, N.W. Hendrickx, M. Lodari, B.P. Wuetz, A. Tosato, L. Yeoh, M. Bollani, M. Virgilio, M.A. Schubert, P. Zaumseil, G. Capellini, M. Veldhorst, G. Scappucci
Advanced Functional Materials 29(14), 1807613 (2019)
DOI: 10.1002/adfm.201807613

(57) Wave Digital Model of a TiN/Ti/HfO2/TiN Memristor
E. Solan, E. Perez, D. Michaelis, Ch. Wenger, K. Ochs
International Journal of Numerical Modelling: Electronic Networks, Devices and Fields 32(5), e2588 (2019)
DOI: 10.1002/jnm.2588, (NeuroMem)

(58) Si-based N-Type THz Quantum Cascade Emitter
D. Stark, L. Persichetti, M. Montanari, C. Ciano, L. Di Gaspare, M. De Seta, M.H. Zoellner, O. Skibitzki, G. Capellini, M. Ortolani, L. Baldassarre, M. Virgilio, T. Grange, S. Birner, K. Rew, D.J. Paul, J. Faist, G. Scalari
Proc. 44th International Conference on Infrared, Millimeter, and Terahertz Waves (IRMMW-THz 2019), (2019)
DOI: 10.1109/IRMMW-THz.2019.8874354, (FLASH)

(59) N-Type Ge/SiGe Quantum Cascade Heterostructures for THz Emission
D. Stark, L. Persichetti, M. Montanari, C. Ciano, L. Di Gaspare, M. De Seta, M.H. Zoellner, O. Skibitzki, G. Capellini, M. Ortolani, L. Baldassarre, M. Virgilio, T. Grange, S. Birner, K. Rew, D.J. Paul, J. Faist, G. Scalari
Proc. European Conference on Lasers and Electro-Optics (CLEO 2019), CC3.3 (2019)

(60) Mid-Infrared Optical Characterization of thin SiNx Membranes
M. Stocchi, D. Mencarelli, L. Pierantoni, D. Kot, M. Lisker, A. Göritz, C. Baristiran Kaynak, M. Wietstruck, M. Kaynak
Applied Optics 58(19), 5233 (2019)
DOI: 10.1364/AO.58.005233, (IHP-Sabanci Joint Lab)
The investigation of the optical constants (e.g. the refractive index n and the extinction coeffcient k) has been performed in the Mid-InfraRed (MIR) spectrum for various Silicon Nitride (SiNx) con gurations. By exploiting the Transfer Matrix Method (TMM) formulation, photometric measurements of transmission and reflection have been used for iteratively calculating the optical parameters of interest. For ensuring the reliability of the latter, the same material from which these parameters were extracted from was deposited for three different thicknesses, e.g. 600, 200 and 100 nm. While the former is optically characterized, the remaining two are used for testing purposes. For each experimental/calculated comparison, the average (made over the whole considered spectrum interval) of the relative error never exceeds 1.5%, which ensures the correctness of the given n and k. For the sake of completeness, a detailed analysis of the intrinsic limitations arising from the very nature of the method will also be conducted.

(61) Demonstration of a Graphene-Base Heterojunction Transistor with Saturated Output Current
C. Strobel, C.A. Chavarin, B. Leszczynska, S. Leszczynski, F. Winkler, S. Killge, S. Völkel, K. Richter, A. Hiess, M. Knaut, J. Reif, M. Albert, Ch. Wenger, J.W. Bartha
Journal of Applied Physics 125(23), 234501 (2019)
DOI: 10.1063/1.5093167, (FFLEXCOM (D020))
A novel transistor with a graphene base embedded between two n-type silicon emitter and collector layers (graphene-base heterojunction transistor) is fabricated and characterized electrically. The base-voltage controlled current of the device flows vertically from the emitter via graphene to the collector. Due to the extremely short transit time for electrons passing the ultimately thin graphene base, the device has a large potential for high-frequency RF applications. The transistor exhibits saturated output currents and a clear modulation of the collector current by means of the graphene base voltage. The vertical transfer current from the emitter via the graphene base to the collector is much lower than expected from device simulations. A comparison of the graphene-base transistor and a reference silicon n‑p‑n bipolar transistor is performed with respect to the main DC transistor characteristics. A common-emitter gain of larger than one has been achieved for the reference device while the graphene-base transistor so far exhibits a much lower gain.

(62) Integrated Reconfigurable Silicon Photonics Switch Matrix in IRIS Project: Technological Achievements and Experimental Results
F. Testa, S. Tondini, F. Gambini, P. Velha, A. Bianchi, C. Kopp, M. Hofbauer, C.L. Manganelli, N. Zecevic, S. Faralli, G. Pares, R. Enne, A. Serrano, B. Goll, G. Fontana, A. Chalyan, J.-M. Lee, P. Pintus, G. Chiaretti, H. Zimmermann, L. Pavesi, C.J. Oton, S. Stracca
IEEE Journal of Lightwave Technology 37(2), 345 (2019)
DOI: 10.1109/JLT.2018.2871974

(63) Defect Identification at the AlN/Si(111) Interface in AlGaN/GaN HEMT Structures by DLTS
H. Tetzner, W. Seifert, S.B. Thapa, W.M. Klesse, M.H. Zoellner
Proc. 8th International Symposium on Control of Semiconductor Interfaces (ISCSI 2019), 9 (2019)
(GaN HEMT)

(64) Epitaxy of Si-Ge-Sn-based Heterostructures for CMOS-Integratable Light Emitters
N. von den Driesch, D. Stange, D. Rainko, U. Breuer, G. Capellini, J.-M. Hartmann, H. Sigg, S. Mantl, D. Grützmacher, D. Buca
Solid State Electronics 155, 139 (2019)
DOI: 10.1016/j.sse.2019.03.013, (DFG GeSn Laser)
The recent rise of GeSn-based optically pumped lasers have multiplied the efforts to fabricate a fully CMOS compatible and group IV-based light emitter. Their integration with Si-based electronics may yield heavily reduced power consumption in integrated circuits and pave the way towards new sensing or medical applications. Here, we discuss the epitaxy of group IV GeSn and SiGeSn semiconductors and show their suitability for light emitting applications. Double and multi quantum well heterostructures are evaluated, whereby the latter enables an inherently easier control over the formation of deleterious misfit defects. Consequently, microdisk lasers fabricated from those show greatly enhanced light emission and reduced lasing thresholds. The use of in-situ p-i-n doping schemes allow the formation of light emitting diodes, resulting in electrically-enabled light emission already at room temperature

(65) Interface-Engineered Reliable HfO2-based RRAM for Synaptic Simulation
Q. Wang, G. Niu, S. Roy, Y. Wang, Y. Zhang, H. Wu, S. Zhai, W. Bai, P. Shi, S. Song, Z. Song, Y.-H. Xie, Z.-G. Ye, Ch. Wenger, T. Schroeder, X. Meng, W. Ren
Journal of Materials Chemistry C: Materials for Optical and Electronic Devices 7(40), 12682 (2019)
DOI: 10.1039/C9TC04880D, (NeuroMem)
Future synaptic simulation using resistance random access memory (RRAM) requires higher reliability and lower power consumption of the devices and understanding of the correlation of the materials with their multi-level resistance switching (RS) properties. Using O3 pretreatment on a TiN electrode, this work highlights the significant role of the interface in the enhancement of the reliability and the power consumption of HfO2-based RRAM devices. X-ray photoelectron spectroscopy investigations indicate increases of the TiON and TiO2 components with the augmentation of the number of O3 treatment cycles, which strongly impacts the RS properties of the Pt/HfO2/TiN devices. Optimal RS properties were obtained for 20 O3 pulse-pretreated devices, which were used to emulate biological synapses after an annealing process. Analog memory properties, including analog set and reset in DC mode and potentiation/depression based on two types of designed pulses, have been achieved. Finally, one of the biological synapse learning rules, spike-timing-dependent plasticity, was successfully emulated. These results, avoiding the conventional route based on dual-layer insulators, are of significance for synaptic simulation using interface-engineered single-layer HfO2 RRAM and further reveal the internal mechanism of HfO2-based electron synapses.

(66) Inherent-Stochastic Learning in CMOS Integrated HfO2 Arrays for Neuromorphic Computing
Ch. Wenger, F. Zahari, M.K. Mahadevaiah, E. Perez, I. Beckers, H. Kohlstedt, M. Ziegler
IEEE Electron Device Letters 40(4), 639 (2019)
DOI: 10.1109/LED.2019.2900867, (NeuroMem)

(67) Nickel Texture Adjustment on Si and Ge and its Impact on Nickel Silicide and Germanide
D. Wolansky, P. Zaumseil, M.H. Zoellner, S. Schulze
ECS Transactions 93(1), 97 (2019)
DOI: 10.1149/09301.0097ecst, (Taranto)

(68) Ge/SiGe Multi Quantum Well Fabrication by Using Reduced Pressure Chemical Vapor Deposition
Y. Yamamoto, O. Skibitzki, M.A. Schubert, M. Scuderi, F. Reichmann, M.H. Zoellner, G. Capellini, B. Tillack
Proc. 51st International Conference on Solid State Devices and Materials (SSDM 2019), 293 (2019)
(FLASH)

(69) Self-Ordered Ge Nanodot Fabrication by using Reduced Pressure Chemical Vapor Deposition
Y. Yamamoto, Y. Itoh, P. Zaumseil, M.A. Schubert, G. Capellini, K. Washio, B. Tillack
ECS Journal of Solid State Science and Technology 8(3), P190 (2019)
DOI: 10.1149/2.0091903jss

(70) Development of a Portable Dielectric Biosensor for Rapid Detection of Viscosity Variations and Its In Vitro Evaluations using Saliva Samples of COPD Patients and Healthy Control
P.S. Zarrin, F.I. Jamal, N. Roeckendorf, Ch. Wenger
Healthcare (MDPI) 7(1), 11 (2019)
DOI: 10.3390/healthcare7010011, (EXASENS)
Chronic Obstructive Pulmonary Disease (COPD) is a life-threatening lung disease affecting millions of people worldwide. Although majority of patients with objective COPD go undiagnosed until late stages of their disease, recent studies suggest that the regular screening of sputum viscosity could provide important information on the disease detection. Since the viscosity of sputum is mainly defined by its mucin-protein and water contents, dielectric biosensors can be used for detection of viscosity variations by screening changes in sputum's contents. Therefore, the objective of this work was to develop a portable dielectric biosensor for rapid detection of viscosity changes and to evaluate its clinical performance in characterizing viscosity differences of saliva samples collected from COPD patients and Healthy Control (HC). For this purpose, a portable dielectric biosensor, capable of providing real-time measurements, was developed. The sensor performance for dielectric characterization of mediums with high water contents, such as saliva, was evaluated using isopropanol-water mixtures. Subsequently, saliva samples, collected from COPD patients and HC, were investigated for clinical assessments. The radio frequency biosensor provided high repeatability of 1.1% throughout experiments. High repeatability, ease of cleaning, low-cost, and portability of the biosensor made it a suitable technology for point-of-care applications.

(71) Pattern Recognition for COPD Diagnostics Using an Artificial Neural Network and Its Potential Integration on Hardware-based Neuromorphic Platforms
P.S. Zarrin, Ch. Wenger
Proc. 28th International Conference on Artificial Neural Networks (ICANN 2019), in: Information and Communications Security, Springer, LNCS 11731, 284 (2019)
DOI: 10.1007/978-3-030-30493-5_29, (Total Resilience)

(72) Pattern Recognition for COPD Diagnostics Using an Artificial Neural Network and Its Potential Integration on Hardware-based Neuromorphic Platforms
P.S. Zarrin, Ch. Wenger
Proc. 28th International Conference on Artificial Neural Networks (ICANN 2019), in: Information and Communications Security, Springer, LNCS 11731, 284 (2019)
DOI: 10.1007/978-3-030-30493-5_29, (RRAM (Resistive RAM))

(73) Correlation of Optical, Structural, and Compositional Properties with V-Pit Distribution in InGaN/GaN Multiquantum Wells
M.H. Zoellner, G.A. Chahine, L. Lahourcade, Ch. Mounir, C.L. Manganelli, T.U. Schülli, U.T. Schwarz, R. Zeisel, T. Schroeder
ACS Applied Materials & Interfaces 11(25), 22834 (2019)
DOI: 10.1021/acsami.9b04431
InGaN/GaN double heterostructures and multi-quantum wells have been successfully developed since more than 20 years for LED lightning applications. Recent developments show that state-of-the-art LEDs benefit from artificially generated V-pit defects. However, the control of structural and chemical properties plays a tremendous role. In this paper we report on the lateral distribution of V-pit defects and photoluminescence of InGaN/GaN multi quantum wells grown on thick GaN on patterned sapphire substrates. The synchrotron based scanning x-ray diffraction microscopy technique K-Map was employed to locally correlate these properties with the local tilt, strain and composition of the InGaN/GaN multi quantum well. Compositional fluctuation is the main factor for the variation of photoluminescence intensity and broadening. In turn V-pit defects, align along small-angle grain boundaries, and their strain fields are identified as reason for promoting the InGaN segregation process on a microscale.

Die Website ist für moderne Browser konzipiert. Bitte verwenden Sie einen aktuellen Browser.