Publications 2016

Script list Publications

(1) Technology Modules from Micro- and Nano-Electronics for the Life Sciences
M. Birkholz, A. Mai, Ch. Wenger, Ch. Meliani, R. Scholz
Wiley Interdisciplinary Reviews (WIREs). Nanomedicine & Nanobiotechnology 8, 355 (2016)
(Bioelectronics)
The capabilities of modern semiconductor manufacturing offer interesting possibilities to be applied in the life sciences as well as for their commercialization. In this review, the technology modules available in micro- and nano-electronics are exemplarily outlined for the case of 250 and 130 nm technology nodes. Their preparation procedures and the different transistor types as available in CMOS and BiCMOS technologies are introduced as the key elements of comprehensive chip architectures. Techniques for circuit design and the elements of fully integrated bioelectronics systems are presented. The possibility for external customers to make use of these technology modules for their research or development projects via so-called multi-project wafer services is emphasized. Various examples from diverse fields like (1) immobilization of biomolecules and cells on semiconductor surfaces, (2) biosensors operating by affinity principles, surface-acoustic waves, microring-resonators and dielectrophoresis, (3) complete systems for human body implants and monitors for bioreactors, and (4) the combination of microelectronics with microfluidics either by chip-in-plastic integration as well as Si-based microfluidic are demonstrated from common projects with partners from biotechnology and medicine.

(2) Implications of the Incremental Pulse and Verify Algorithm on the Forming and Switching Distributions in RERAM Arrays
F. Crupi, F. Filice, A. Grossi, C. Zambelli, P. Olivo, E. Perez, Ch. Wenger
IEEE Transactions on Device and Materials Reliability 16(3), 413 (2016)
DOI: 10.1109/TDMR.2016.2594119, (R2RAM)
In this paper, the implication of an incremental pulse and verify (IPV) algorithm onto the Forming, Set, and Reset read current distributions is studied in terms of the intercell variability and the reliability of 4-kb RERAM arrays with a Ti/HfO2/Ti/TiN stack. It is shown that the IPV algorithm causes an initial generalized Pareto distribution (GPD) for Forming, Set, and Reset current. Due to the read-induced fluctuations, the GPD evolves to a bimodal distribution for all the cases. The separation value between the two distributions coincides with the threshold current of the IPV algorithm. The main problem arises from the minor distribution, which does not respect the algorithm stop condition. The percentage of the unwanted minor distribution is in the order of a few percentage points for Reset and lower than 1% for Set.

(3) Understanding the Growth Mechanism of Graphene on Ge/Si(001) Surfaces
J. Dabrowski, G. Lippert, J. Avila, J. Baringhaus, I. Colambo, Y. Dedkov, F. Herziger, G. Lupina, J. Maultzsch, T. Schaffus, T. Schroeder, M. Sowinska, Ch. Tegenkamp, D. Vignaud, M.-C. Asensio
Scientific Reports 6, 31639 (2016)
(Graphen)
The practical difficulties to use graphene in microelectronics and optoelectronics is that the available methods to grow graphene are not easily integrated in the mainstream technologies. A growth method that could overcome at least some of these problems is chemical vapour deposition (CVD) of graphene directly on semiconducting (Si or Ge) substrates. Here we report on the comparison of the CVD and molecular beam epitaxy (MBE) growth of graphene on the technologically relevant Ge(001)/Si(001) substrate from ethene (C2H4) precursor and describe the physical properties of the films as well as we discuss the surface reaction and diffusion processes that may be responsible for the observed behavior. Using nano angle resolved photoemission (nanoARPES) complemented by transport studies and Raman spectroscopy as well as density functional theory (DFT) calculations, we report the direct observation of massless Dirac particles in monolayer graphene, providing a comprehensive mapping of their low-hole doped Dirac electron bands. The micrometric graphene flakes are oriented along two predominant directions rotated by 30° with respect to each other. The growth mode is attributed to the mechanism when small graphene “molecules” nucleate on the Ge(001) surface and it is found that hydrogen plays a significant role in this process.

(4) Graphene for Silicon Microelectronics: Ab-Initio Modeling of Graphene Nucleation and Growth
J. Dabrowski, G. Lippert, G. Lupina
Low-Dimensional and Nanostructured Materials and Devices, Springer (2016)
(Graphen)
Graphene electronics is expected to complement the conventional Si technologies.
Graphene processing should thus be compatible with the mainstream Si
technology: CMOS. Ideally, it should be possible to grow graphene directly on a Si
wafer, but this does not work. Large area graphene can be grown on Cu or on Ni, its
transfer to silicon must then follow, which is problematic. Researchers try therefore
to grow graphene on CMOS compatible substrates, such as on Ge/Si(001) wafers.
Ab initio modeling, particularly when used in combination with experimental
data, can elucidate the mechanisms that govern the process of nucleation and growth
of graphene, and thus provide assistance in the design of experiments and production
processes. We overview our results in this context, startig from atomic C deposited
on (chemically inert) graphene, through the similar cases of Si deposited on
graphene and C deposited on hexagonal boron nitride, and the case of carbon on
a non-inert insulator (SiO2-like surface of mica), up to C atoms and hydrocarbon
molecules building graphene on Ge(001) surfaces.

(5) Observation of Field Emission From GeSn Nanoparticles Epitaxially Grown on Silicon Nanopillar Arrays
A. Di Bartolomeo, M. Passacantando, G. Niu, V. Schlykow, G. Lupina, F. Giubileo, T. Schroeder
Nanotechnology 27, 485707 (2016)
We apply molecular beam epitaxy to grow GeSn-nanoparticles on top of Si-nanopillars patterned onto p-type Si wafers. We use x-ray photoelectron spectroscopy to confirm a metallic behavior of the nanoparticle surface due to partial Sn segregation as well as the presence of a superficial Ge oxide. We report the observation of stable field emission (FE) current from the GeSnnanoparticles, with turn on field of 65 V mm-1 and field enhancement factor β~100 at anode–cathode distance of ~0.6 μm. We prove that FE can be enhanced by preventing GeSn
nanoparticles oxidation or by breaking the oxide layer through electrical stress. Finally, we show that GeSn/p–Si junctions have a rectifying behavior.

(6) Characterization of Reclaimed GaAs Substrates and Investigation of Reuse for Thin Film InGaAlP LED Epitaxial Growth
M. Englhard, C. Klemp, M. Behringer, A. Rudolph, O. Skibitzki, P. Zaumseil, T. Schroeder
Journal of Applied Physics 120, 045301 (2016)
This study reports a method to reuse GaAs substrates with a batch process for thin film light emitting diode (TF-LED) production. The method is based on an epitaxial lift-off technique. With the developed reclaim process, it is possible to get an epi-ready GaAs surface without additional time-consuming and expensive grinding/polishing processes. The reclaim and regrowth process was investigated with a one layer epitaxial test structure. The GaAs surface was characterized by an atomic force microscope directly after the reclaim process. The crystal structure of the regrown In0.5(Ga0.45Al0.55)0.5P (Q55) layer was investigated by high resolution x-ray diffraction and scanning transmission electron microscopy. In addition, a complete TF-LED grown on reclaimed GaAs substrates was electro-optically characterized on wafer level. The crystal structure of the epitaxial layers and the performance of the TF LED grown on reclaimed substrates are not influenced by the developed reclaim process. This process would result in reducing costs for LEDs and reducing much arsenic waste for the benefit of a green semiconductor production.

(7) Morphology and Chemical Composition of Cobalt Germanide Islands on Ge(001): In-situ Nanoscale Insights into Contact Formation for Ge-based Device Technology
M. Ewert, T. Schmidt, J.I. Flege, I. Heidmann, T. Grzela, W.M. Klesse, M. Foerster, L. Aballe, T. Schroeder, J. Falta
Nanotechnology 27, 325705 (2016)
The reactive growth of cobalt germanide on Ge(001) was investigated by means of in situ x-ray absorption spectroscopy photoemission electron microscopy (XAS-PEEM), micro illumination low-energy electron diffraction (μ-LEED), and ex situ atomic force microscopy (AFM). At a Co deposition temperature of 670 °C, a rich morphology with different island shapes and dimensions is observed, and a correlation between island morphology and stoichiometry is found. By combining XAS-PEEM and μ-LEED, we were able to identify a large part of the islands to consist of CoGe2, with many of them having an unusual epitaxial relationship: CoGe2[110](111) II Ge [110](001). Side facets with (112) and (113) orientation have been found for such islands. However, two additional phases were observed, most likely Co5Ge7 and CoGe. Comparing growth on Ge(001) single crystals and on Ge(001)/Si(001) epilayer substrates, the occurrence of these intermediate phases seems to be promoted by defects or residual strain.

(8) Impact of HfO2 Deposition Techniques on the Switching Parameters in embedded 1T-1R Cells and Arrays
A. Grossi, E. Perez, C. Zambelli, P. Olivo, Ch. Wenger
Proc. 19th Workshop on Dielectrics in Microelectronics (WoDiM 2016), abstr. book (2016)
(R2RAM)

(9) Impact of HfO2 Deposition Techniques on the Switching Parameters in embedded 1T-1R Cells and Arrays
A. Grossi, E. Perez, C. Zambelli, P. Olivo, Ch. Wenger
Proc. 19th Workshop on Dielectrics in Microelectronics (WoDiM 2016), abstr. book (2016)
(Panache)

(10) Performance and Reliability Comparison of 1T-1R RRAM Arrays with Amorphous and Polycrystalline HfO2
A. Grossi, C. Zambelli, P. Olivo, E. Perez, Ch. Wenger
Proc. Joint International EUROSOI Workshop and International Conference on Ultimate Integration on Silicon (EUROSOI-ULIS), 80 (2016)
DOI: 10.1109/ULIS.2016.7440057, (R2RAM)
Recent advances in Resistive Random Access Memories (RRAM) technology gathered significant interest for several applications. However, the choice of proper Metal-Insulator-Metal (MIM) technology for RRAM cells exhibiting good uniformity and low switching voltages is still a key issue for array structures fabrication and reliable electrical operation. Such a process step is mandatory to bring this technology to a maturity level. In this work, a comparison between 1T-1R RRAM arrays manufactured either with amorphous or polycrystalline HfO2 MIM in terms of performance, reliability, intra-cell and inter-cell variability is reported.

(11) Functionalized Semiconductor Surfaces for THz Biosensing Applications
M. Kazmierczak, Y. Dedkov, W.M. Klesse, S. Guha, T. Schroeder
Proc. 9th Meeting Engineering of Functional Interfaces (EnFi 2016), abstr. book (2016)

(12) Investigation of the Copper Gettering Mechanism of Oxide Precipitates in Silicon
G. Kissinger, D. Kot, M.A. Schubert, M. Klingsporn, A. Sattler, T. Müller
Proc. 7th International Symposium on Advanced Science and Technology of Silicon Materials (JSPS 2016), 7 (2016)
(Aeternitas)

(13) Investigation of the Composition of the Si/SiO2 Interface in Oxide Precipitates and Oxide Layers on Silicon by STEM/EELS
G. Kissinger, M.A. Schubert, D. Kot, T. Grabolla
ECS Transactions 75(4), 81 (2016)
(Future Silicon Wafers)

(14) Investigation of the Copper Gettering Mechanism of Oxide Precipitates in Silicon
G. Kissinger, D. Kot, M.A. Schubert, M. Klingsporn, A. Sattler, T. Müller
Proc. 7th International Symposium on Advanced Science and Technology of Silicon Materials (JSPS 2016), 7 (2016)
(Future Silicon Wafers)

(15) Ab Initio Calculation and Simulation of Vacancy Vn and Vacancy Oxygen VnOm Clustering in Silicon
G. Kissinger, J. Dabrowski, T. Sinno, Y. Yang, D. Kot, A. Sattler
Proc. International Conference on Crystal Growth and Epitaxy (ICCGE 2016), abstr. book, 201 (2016)
(Future Silicon Wafers)

(16) Investigation of the Composition of the Si/SiO2 Interface in Oxide Precipitates and Oxide Layers on Silicon by STEM/EELS
G. Kissinger, M.A. Schubert, D. Kot, T. Grabolla
ECS Transactions 75(4), 81 (2016)
(Aeternitas)

(17) Internal Gettering of Copper for Microelectronic Applications
G. Kissinger, D. Kot, M.A. Schubert, A. Sattler, T. Müller
Solid State Phenomena 242, 236 (2016)
(Future Silicon Wafers)
The results of this work have shown that for microelectronic applications, gettering at dislocations is less important and oxygen precipitates are the main getter sink for Cu. Sufficient gettering of Cu in samples contaminated with low Cu concentration requires a higher density and larger oxygen precipitates compared to samples contaminated with high Cu concentration. It is demonstrated that the getter efficiency depends on the contamination level of the samples and getter test with low contamination level must be applied for microelectronic applications. Furthermore, a getter test for 3D chip stack technologies was developed. It was shown that although the wafers are thinned to a thickness of 50 µm their getter efficiency seems to be higher than for wafers of the original thickness. This is assumed to be due to the higher Cu concentration in the thinner wafers which can be gettered easier. It is also demonstrated that BMDs can getter Cu impurities even if the temperature does not exceed 300 °C. The getter efficiency tends to be higher if the samples are stored under day light and not in the dark.

(18) Jan Vanhellemont – 35 Years of Materials Research in Microelectronics
G. Kissinger, E. Simoen, C. Claeys, P. Clauws, P. Spiewak, K. Sueoka, D. Yang
Physica Status Solidi C 13(10–12), 706 (2016)

(Future Silicon Wafers)
On the occasion of the decease of Prof. Jan Vanhellemont, a brief overview of his scientific career, covering more than 35 years in semiconductor materials science, is given in this paper. The main scientific highlights are summarized. Besides the different positions he has taken in his career at different universities and companies, he has also been very active in establishing a world-wide network of collaborations and contacts, who often became also good friends.

(19) Preface Group IV Semiconductors Materials Research: Growth, Characterization and Applications to Electronics and Spintronics
G. Kissinger, S. Pizzini, L. Miglio, H. Yamada-Kaneta, D. Yang
Physica Status Solidi C 13(10–12), 704 (2016)
(Future Silicon Wafers)

(20) Perfluorodecyltrichlorosilane-Based Seed-Layer for Improved Chemical Vapour Deposition of Ultrathin Hafnium Dioxide Films on Graphene
J. Kitzmann, A. Göritz, M. Fraschke, M. Lukosius, Ch. Wenger, A. Wolff, G. Lupina
Scientific Reports 6, 29223 (2016)
(Graphen)
We investigate the use of perfluorodecyltrichlorosilane-based self-assembled monolayer as seeding layer for chemical vapor deposition of HfO2 on large area CVD graphene. The deposition and evolution of the FDTS-based seed layer is investigated by X-ray photoelectron spectroscopy, Auger electron spectroscopy, and transmission electron microscopy. Crystalline quality of graphene transferred from Cu is monitored during formation of the seed layer as well as the HfO2 growth using Raman spectroscopy. We demonstrate that FDTS-based seed layer greatly improves nucleation of HfO2 layers so that graphene can be coated in a conformal way with HfO2 layers as thin as 10 nm. Proof-of-concept experiments on 200 mm wafers presented here validate applicability of the proposed approach to wafer scale graphene device fabrication.

(21) Investigation of Stoichiometry of Oxygen Precipitates in Czochralski Silicon Wafers by Means of EDX, EELS and FTIR Spectroscopy
D. Kot, G. Kissinger, M.A. Schubert, M. Klingsporn, A. Huber, A. Sattler
Superlattices and Microstructures 99, 231 (2016)
(Future Silicon Wafers)
In this work, we used EDX, EELS and FTIR spectroscopy to investigate the stoichiometry of
oxygen precipitates in Czochralski silicon wafers. The EDX analysis of a plate-like precipitate
demonstrated that the composition of the precipitate is SiO1.93. This result was
confirmed by EELS where the characteristic plasmon peak of SiO2 was observed. Additionally,
the absorption band of plate-like precipitates at 1223 cm-1 was found in the FTIR
spectrum measured at liquid helium temperature. It was demonstrated that this band can
only be simulated by the dielectric constants of amorphous SiO2.

(22) Current Stage of the Investigation of the Composition of Oxygen Precipitates in Czochralski Silicon Wafers
D. Kot, G. Kissinger, M.A. Schubert, A. Sattler
ECS Transactions 75(4), 53 (2016)
In this work, we look on the current stage of the investigation of the composition of oxygen precipitates obtained with the help of different techniques. Moreover, we present our recent and new investigation of the composition of oxygen precipitates carried out by means of energy dispersive X-ray spectroscopy, electron energy loss spectroscopy, and Fourier transform infrared spectroscopy. The FTIR spectra measured at liquid helium temperature are compared with the spectra simulated on the basis of experimental results obtained by scanning transmission electron microscopy. According to the results obtained by all methods the precipitated phase of plate-like as well as octahedral precipitates is close to SiO2.

(23) Aligned Immobilization of Proteins Using AC Electric Fields
E.-M. Laux, X. Knigge, U.Ch. Kaletta, F.F. Bier, Ch. Wenger, R. Hölzel
Small 12(11), 1514 (2016)
(Tera-Sens)
Protein molecules are aligned and immobilized from solution by AC electric fi elds.
In a single-step experiment, the enhanced green fl uorescent proteins are immobilized
on the surface as well as at the edges of planar nanoelectrodes. Alignment is found
to follow the molecules’ geometrical shape with their longitudinal axes parallel to
the electric fi eld. Simultaneous dielectrophoretic attraction and AC electroosmotic
fl ow are identifi ed as the dominant forces causing protein movement and alignment.
Molecular orientation is determined by fl uorescence microscopy based on polarized
excitation of the proteins’ chromophores. The chromophores’ orientation with respect
to the whole molecule supports X-ray crystal data.

(24) Solid and Gas Source MBE of Graphene on Ge(001)
G. Lippert, J. Dabrowski, J. Avila, J. Baringhaus, I. Colambo, Y. Dedkov, F. Herziger, G. Lupina, J. Maultzsch, T. Schaffus, T. Schroeder, M. Sowinska, Ch. Tegenkamp, D. Vignaud, M.-C. Asensio
Proc. 19th International Conference on Molecular-Beam Epitaxy (MBE 2016), (2016)
(Graphen)

(25) Gas (Ethylene) and Solid Source MBE Growth of Graphene on Ge(001)
G. Lippert, J. Dabrowski, J.M.C. Asensio, J. Avila, J. Baringhaus, I. Colambo, F. Herzinger, J. Maultzsch, T. Schaffus, T. Schroeder, M. Sowinska, Ch. Tegenkamp, D. Vignaud, G. Lupina
Proc. European Workshop on Epitaxial Graphene and 2D Materials, ext. abstr. 90, (2016)
(Graphen)
In order to bring graphene into a useful microelectronic application one must have a growth method that complies with the technological requirements. Apart from quality demands, they impose several other limits, in particular on the thermal budget and on the materials used during growth. For example, good graphene can be grown on copper, but it must be subsequently transferred onto Si wafers, which is problematic with respect to the residual metallic contaminations in graphene [1]. A possibility to circumvent some of these difficulties is to directly use a Ge substrate which is in general CMOS technology compatible [2-5]. We compare the graphene growth on Ge(001) in UHV by two methods: from gas source (C2H4) and by e-gun evaporation of graphite. The films are characterized by Raman spectroscopy, by Nano-ARPES, and by 4-tip STM. We find that graphene is strained and p-doped. Insight into the nucleation and growth mechanism is given by pseudopotential density functional theory (DFT) calculations. Theoretical results indicate that quality of the film is adversely affected by the presence of Ge dimer vacancies on the surface.

(26) Metal-Free CVD Graphene Synthesis on 200 mm Ge/Si (100) Substrates
M. Lukosius, J. Dabrowski, M. Lisker, F. Akhtar, J. Kitzmann, S. Schulze, G. Lippert, O. Fursenko, Y. Yamamoto, M.A. Schubert, H.-M. Krause, A. Wolff, A. Mai, T. Schroeder, G. Lupina
ACS Applied Materials & Interfaces 8, 33786 (2016)
Good quality, complementary-metal-oxidesemiconductor (CMOS) technology compatible, 200 mm graphene was obtained on Ge(001)/Si(001) wafers in this work. Chemical vapor depositions were carried out at the deposition temperatures of 885 °C using CH4 as carbon source on epitaxial Ge(100) layers, which were grown on Si(100), prior to the graphene synthesis. Graphene layer with the 2D/G ratio ∼3 and low D mode (i.e., low concentration of defects) was measured over the entire 200 mm wafer by Raman spectroscopy. A typical full-width-at-half-maximum value of 39 cm−1 was extracted for the 2D mode, further indicating that graphene of good structural quality was produced. The study also revealed that the lack of interfacial oxide correlates with superior properties of graphene. In order to evaluate electrical properties of graphene, its 2 × 2 cm2 pieces were transferred onto SiO2/Si substrates from Ge/Si wafers. The extracted sheet resistance and mobility values of transferred graphene layers were ∼1500 ± 100 Ω/sq and μ ≈ 400 ± 20 cm2/V s, respectively. The transferred
graphene was free of metallic contaminations or mechanical damage. On the basis of results of DFT calculations, we attribute the high structural quality of graphene grown by CVD on Ge to hydrogen-induced reduction of nucleation probability, explain the appearance of graphene-induced facets on Ge(001) as a kinetic effect caused by surface step pinning at linear graphene nuclei, and clarify the orientation of graphene domains on Ge(001) as resulting from good lattice matching between Ge(001) and graphene nucleated on such nuclei.

(27) Graphene Synthesis and Processing on Ge Substrates
M. Lukosius, G. Lippert, J. Dabrowski, J. Kitzmann, T. Schroeder, M. Lisker, A. Krüger, O. Fursenko, Y. Yamamoto, A. Wolff, A. Mai, G. Lupina
ECS Transactions 75(8), 533 (2016)
(Graphen)
We review some of the recent results obtained on the graphene synthesis on Ge(100)/Si(100) substrates by molecular beam epitaxy and wafer scale chemical vapor deposition. We outline some of the identified challenges in synthesis and present first experimental results on patterning and in-line metrology of graphene in a 200 mm wafer pilot line.

(28) Graphene-Silicon Schottky Diodes
G. Luongo, F. Giubileo, L. Lemmo, G. Niu, T. Schroeder, G. Lupina, A. Di Bartolomeo
Proc. International Conference on Graphene and Related Materials (GM 2016), 127 (2016)
(Graphen)

(29) Plasma-Enhanced Chemical Vapor Deposition of Amorphous Si on Graphene
G. Lupina, C. Strobel, J. Dabrowski, G. Lippert, J. Kitzmann, H.-M. Krause, Ch. Wenger, M. Lukosius, A. Wolff, M. Albert, J.W. Bartha
Applied Physics Letters 108, 193105 (2016)
(DFG-Graphen)
Plasma-enhanced chemical vapor deposition of thin a-Si:H layers on transferred large area graphene is investigated. Radio frequency (RF, 13.56MHz) and very high frequency (VHF, 140 MHz) plasma processes are compared. Both methods provide conformal coating of graphene with Si layers as thin as 20 nm without any additional seed layer. The RF plasma process results in amorphization of the graphene layer. In contrast, the VHF process keeps the high crystalline quality of the graphene layer almost intact. Correlation analysis of Raman 2D and G band positions indicates that Si deposition induces reduction of the initial doping in graphene and an increase of compressive strain. Upon rapid thermal annealing, the amorphous Si layer undergoes dehydrogenation and transformation into a polycrystalline film, whereby a high crystalline quality of graphene is preserved.

(30) Design of Resistive Non-Volatile Memories for Rad-Hard Application
N. Lupo, C. Calligaro, R. Gastaldi, Ch. Wenger, M. Maloberti
Proc. IEEE International Symposium on Circuits and Systems (ISCAS 2016), 1594 (2016)
DOI: 10.1109/ISCAS.2016.7538869, (R2RAM)

(31) Photodetection in Hybrid Single Layer Graphene/fully Coherent Ge Island Nanostructures Selectively Grown on Si Nano-tip Patterns
G. Niu, G. Capellini, G. Lupina, T. Niermann, M. Salvalaglio, A. Marzegalli, M.A. Schubert, P. Zaumseil, H.-M. Krause, O. Skibitzki, M. Lehmann, F. Montalenti, Y.-H. Xie, T. Schroeder
ACS Applied Materials & Interfaces 8(3), 2017 (2016)
Dislocation networks are one of the most principle sources deteriorating the performances of devices based on lattice-mismatched heteroepitaxial systems. We demonstrate here a technique enabling fully coherent germanium (Ge) islands selectively grown on nanotippatterned
Si(001) substrates. The silicon (Si)-tip-patterned substrate, fabricated by complementary metal oxide semiconductor compatible nanotechnology, features ∼50-nm-wide Si areas emerging from a SiO2 matrix and arranged in an ordered lattice. Molecular beam epitaxy growths result in Ge
nanoislands with high selectivity and having homogeneous shape and size. The ∼850 °C growth temperature required for ensuring selective growth has been shown to lead to the formation
of Ge islands of high crystalline quality without extensive Si intermixing (with 91 atom % Ge). Nanotip-patterned wafers result in geometric, kinetic-diffusion-barrier intermixing hindrance, confining the major intermixing to the pedestal region of Ge islands, where kinetic diffusion barriers are, however, high. Theoretical calculations suggest that the thin Si/Ge layer at the interface plays, nevertheless, a significant role in realizing our fully coherent Ge nanoislands free from extended defects especially dislocations. Single-layer graphene/Ge/Si-tip Schottky junctions were fabricated, and thanks to the absence of extended defects in Ge islands, they demonstrate high-performance photodetection characteristics with responsivity of ∼45 mA W-1 and an Ion/Ioff ratio of ∼103.

(32) Dislocation-free Ge Nano-Crystals on Si: Pattern Independent Selective Ge Heteroepitaxy via Si-tip Wafers
G. Niu, G. Capellini, M.A. Schubert, T. Niermann, P. Zaumseil, J. Katzer, H.-M. Krause, O. Skibitzki, M. Lehmann, Y.-H. Xie, H. von Känel, T. Schroeder
Scientific Reports 6, 22709 (2016)
The integration of dislocation-free Ge nano-islands was realized via selective molecular beam epitaxy on Si nano-tip patterned substrates. The Si-tip wafers feature a rectangular array of nanometer sized Si tips with (001) facet exposed among a SiO2 matrix. These wafers were fabricated by complementary metaloxide-semiconductor (CMOS) compatible nanotechnology. Calculations based on nucleation theory predict that the selective growth occurs close to thermodynamic equilibrium, where condensation of Ge adatoms on SiO2 is disfavored due to the extremely short re-evaporation time and diffusion length. The growth selectivity is ensured by the desorption-limited growth regime leading to the observed pattern independence, i.e. the absence of loading effect commonly encountered in chemical vapor deposition. The growth condition of high temperature and low deposition rate is responsible for the observed high crystalline quality of the Ge islands which is also associated with negligible Si-Ge intermixing owing to geometric hindrance by the Si nano-tip approach. Single island as well as area-averaged characterization methods demonstrate that Ge islands are dislocation-free and heteroepitaxial strain
is fully relaxed. Such well-ordered high quality Ge islands present a step towards the achievement of materials suitable for optical applications.

(33) Hybrid Graphene/Dislocation-free Ge Islands Selectively Grown on Si Nano-Tip Patterns for High Performance Photodetection
G. Niu, G. Capellini, G. Lupina, T. Niermann, M. Salvalaglio, A. Marzegalli, M.A. Schubert, P. Zaumseil, H.-M. Krause, O. Skibitzki, V. Schlykow, M. Lehmann, F. Montalenti, Y.-H. Xie, T. Schroeder
Proc. International SiGe Technology and Device Meeting (ISTDM 2016), abstr. 147 (2016)

(34) Material Insights of HfO2-based Integrated 1-Transistor-1-Resistor Random Resistive Access Memory Devices Processed by Batch Atomic Layer Deposition
G. Niu, H.-D. Kim, R. Roelofs, E. Perez, M.A. Schubert, P. Zaumseil, I. Costina, Ch. Wenger
Scientific Reports 6, 28155 (2016)
(RRAM (Resistive RAM))
With the continuous scaling of resistive random access memory (RRAM) devices, in-depth
understanding of the physical mechanism and the material issues, particularly by directly studying integrated cells, become more and more important to further improve the device performances. In this work, HfO2-based integrated 1-transistor-1-resistor (1T1R) RRAM devices were processed in a standard 0.25 μm complementary-metal-oxide-semiconductor (CMOS) process line, using a batch atomic layer deposition (ALD) tool, which is particularly designed for mass production. We demonstrate a systematic study on TiN/Ti/HfO2/TiN/Si RRAM devices to correlate key material factors (nano-crystallites and carbon impurities) with the filament type resistive switching (RS) behaviours. The augmentation of the  nano-crystallites density in the film increases the forming voltage of devices and its variation.
Carbon residues in HfO2 films turn out to be an even more significant factor strongly impacting the RS behaviour. A relatively higher deposition temperature of 300 °C dramatically reduces the residual carbon concentration, thus leading to enhanced RS performances of devices, including lower power consumption, better endurance and higher reliability. Such thorough understanding on physical mechanism of RS and the correlation between material and device performances will facilitate the realization of high density and reliable embedded RRAM devices with low power consumption.

(35) Geometric Conductive Filament Confinement by Nanotips for Resistive Switching of HfO2-RRAM Devices with High Performance
G. Niu, P. Calka, M. Auf der Maur, F. Santoni, S. Guha, M. Fraschke, P. Hamoumou, B. Gautier, E. Perez, Ch. Walczyk, Ch. Wenger, A. Di Carlo, L. Alff, T. Schroeder
Scientific Reports 6, 25757 (2016)
Filament-type HfO2-based RRAM has been considered as one of the most promising candidates for future non-volatile memories. Further improvement of the stability, particularly at the “OFF” state, of such devices is mainly hindered by resistance variation induced by the uncontrolled oxygen vacancies distribution and filament growth in HfO2 films. We report highly stable endurance of TiN/Ti/HfO2/Sitip RRAM devices using a CMOS compatible nanotip method. Simulations indicate that the nanotip bottom electrode provides a local confinement for the electrical field and ionic current density; thus a nano-confinement for the oxygen vacancy distribution and nano-filament location is created by this approach. Conductive atomic force microscopy measurements confirm that the filaments form only on the nanotip region. Resistance switching by using pulses shows highly stable endurance for both ON and OFF modes, thanks to the geometric confinement of the conductive path and filament only
above the nanotip. This nano-engineering approach opens a new pathway to realize forming-free RRAM devices with improved stability and reliability.

(36) Material Insights of HfO2-based Integrated 1-Transistor-1-Resistor Random Resistive Access Memory Devices Processed by Batch Atomic Layer Deposition
G. Niu, H.-D. Kim, R. Roelofs, E. Perez, M.A. Schubert, P. Zaumseil, I. Costina, Ch. Wenger
Scientific Reports 6, 28155 (2016)
(Panache)
With the continuous scaling of resistive random access memory (RRAM) devices, in-depth
understanding of the physical mechanism and the material issues, particularly by directly studying integrated cells, become more and more important to further improve the device performances. In this work, HfO2-based integrated 1-transistor-1-resistor (1T1R) RRAM devices were processed in a standard 0.25 μm complementary-metal-oxide-semiconductor (CMOS) process line, using a batch atomic layer deposition (ALD) tool, which is particularly designed for mass production. We demonstrate a systematic study on TiN/Ti/HfO2/TiN/Si RRAM devices to correlate key material factors (nano-crystallites and carbon impurities) with the filament type resistive switching (RS) behaviours. The augmentation of the  nano-crystallites density in the film increases the forming voltage of devices and its variation.
Carbon residues in HfO2 films turn out to be an even more significant factor strongly impacting the RS behaviour. A relatively higher deposition temperature of 300 °C dramatically reduces the residual carbon concentration, thus leading to enhanced RS performances of devices, including lower power consumption, better endurance and higher reliability. Such thorough understanding on physical mechanism of RS and the correlation between material and device performances will facilitate the realization of high density and reliable embedded RRAM devices with low power consumption.

(37) Selective Epitaxy of InP on Si and Rectification in Graphene/InP/Si Hybrid Structure
G. Niu, G. Capellini, F. Hatami, A. Di Bartolomeo, T. Niermann, E.H. Hussein, M.A. Schubert, H.-M. Krause, P. Zaumseil, O. Skibitzki, G. Lupina, W.T. Masselink, M. Lehmann, Y.-H. Xie, T. Schroeder
ACS Applied Materials & Interfaces 8, 26948 (2016)
The epitaxial integration of highly heterogeneous material systems with silicon (Si) is a central topic in (opto-)electronics owing to device applications. InP could open new avenues for the realization of novel devices such as high-mobility transistors in next-generation CMOS or efficient lasers in Si photonics circuitry. However, the InP/Si heteroepitaxy is highly challenging
due to the lattice (∼8%), thermal expansion mismatch (∼84%), and the different lattice symmetries. Here, we demonstrate the growth of InP nanocrystals showing high structural quality and excellent optoelectronic properties on Si. Our CMOS-compatible innovative approach exploits the selective epitaxy of InP nanocrystals on Si nanometric seeds obtained by the opening of lattice-arranged Si nanotips embedded in a SiO2 matrix. A graphene/InP/Si-tip heterostructure was realized on obtained materials, revealing rectifying behavior and promising photodetection. This work presents a significant advance toward the monolithic integration of graphene/III−V based hybrid devices onto the mainstream Si technology platform.

(38) Ge and GeSn Light Emitters on Si
M. Oehme, M. Gollhofer, K. Kostecki, R. Koerner, S. Bechler, D. Widmann, T. Arguirov, M. Kittler, J. Schulze
Solid State Phenomena 242, 353 (2016)
The heteroepitaxial growth of GeSn and Ge crystals on Si substrates are investigated for Si-based photonic applications. Light Emitting Diodes with emission wavelengths from 2,100 to 1,550 nm could be demonstrated with active intrinsic GeSn light emitting layers between Ge barriers. A clear shift of the direct band gap toward the infrared beyond 2 μm is measured. Emission intensity is increased compared to Ge Light Emitting Diodes. Room temperature lasing from electrically pumped n-type doped Ge edge emitting devices are demonstrated. The edge emitter is formed by cleaving Si-Ge waveguide heterodiodes, providing optical feedback through a Fabry-Pérot resonator. The electroluminescence spectra of the devices showed optical bleaching and intensity gain for wavelengths between 1,660 nm and 1,700 nm.

(39) Graphene Growth on Ge(100)/Si(100) Substrates by CVD Method
I. Pasternak, M. Wesolowski, I. Jozwik, M. Lukosius, G. Lupina, J.M. Baranowski, W. Strupinski
Scientific Reports 6, 21773 (2016)
The successful integration of graphene into microelectronic devices is strongly dependent on the
availability of direct deposition processes, which can provide uniform, large area and high quality
graphene on nonmetallic substrates. As of today the dominant technology is based on Si and obtaining graphene with Si is treated as the most advantageous solution. However, the formation of carbide during the growth process makes manufacturing graphene on Si wafers extremely challenging. To overcome these difficulties and reach the set goals, we proposed growth of high quality graphene layers by the CVD method on Ge(100)/Si(100) wafers. In addition, a stochastic model was applied in order to describe the graphene growth process on the Ge(100)/Si(100) substrate and to determine the direction of further processes. As a result, high quality graphene was grown, which was proved by Raman spectroscopy results, showing uniform monolayer films with FWHM of the 2D band of 32 cm−1.

(40) Electrical Study of Radiation Hard Designed HfO2-Based 1T-1R RRAM Devices
E. Perez, F. Teply, Ch. Wenger
Proc. MRS Fall Meeting & Exhibit 2016, (2016)
(Panache)

(41) Electrical Study of Radiation Hard Designed HfO2-Based 1T-1R RRAM Devices
E. Perez, F. Teply, Ch. Wenger
Proc. MRS Fall Meeting & Exhibit 2016, (2016)
(R2RAM)

(42) Robustness Analysis of a Device Concept for Edge-Emitting Lasers Based on Strained Germanium
D. Peschka, M. Thomas, A. Glitzky, R. Nuernberg, M. Virgilio, S. Guha, T. Schroeder, G. Capellini, T. Koprucki
Optical and Quantum Electronics 48, 156 (2016)
(Ge Laser)
We consider a device concept for edge-emitting lasers based on strained germanium microstrips. The device features an inhomogeneous tensile strain distribution generated by a SiN stressor deposited on top of the Ge microstrip. Thisgeometry requires a lateral contact scheme and hence a full two-dimensional description. The two-dimensional simulations of the carrier transport and of the optical field, carried out in a cross section of the device orthogonal to the opticalcavity, use microscopic calculations of the strained Ge material gain. Here we study laser performance and robustness against Shockley-Read-Hall lifetime variations and device sensitivity to different strain distributions.

(43) Variability Control and Multi-bit Operation in RRAM using a Custom Program-Verify Scheme
F.M. Puglisi, Ch. Wenger, L. Larcher, P. Pavan
Proc. Annual Meeting of the Associazione Gruppo Italiano di Elettronica (GE 2016), (2016)
(RRAM (Resistive RAM))

(44) Electronic Properties of Dislocations
M. Reiche, M. Kittler, E. Pippel, H. Kosina, A. Lugstein, H. Uebensee
Solid State Phenomena 242, 141 (2016)
Dislocations are one-dimensional crystal defects. Their dimension characterize the defects
as nanostructures (nanowires). Measurements on defined dislocation arrays proved numerous
exceptional electronic properties. A model of dislocations as quantum wires is proposed. The formation of the quantum wire is a consequence of the high strain level on the dislocation core modifying locally the band structure.

(45) Thin-Film Growth Dynamics with Shadowing Effects by a Phase-Field Approach
M. Salvalaglio, R. Backofen, A. Voigt
Physical Review B 94, 235432 (2016)
Shadowing effects during the growth of nano- and microstructures are crucial for the realization of several technological applications. They are given by the shielding of the incoming material flux provided by the growing structures themselves. Their features have been deeply investigated by theoretical approaches, revealing important information to support experimental activities. However, comprehensive investigations able to follow every stage
of the growth processes as a whole, particularly useful to design and understand targeted experiments, are still challenging. In this work, we study the thin-film growth dynamics by means of a diffuse interface approach accounting for both deposition with shadowing effects and surface diffusion driven by the minimization of the surface energy. In particular, we introduce the coupling between a phase-field model and the detailed calculation of the incoming material flux at the surface deposited from vacuum or vapor phase in the ballistic regime. This allows us to finely reproduce the realistic morphological evolution during the growth on nonflat substrates, also accounting for different flux distributions. A general assessment of the method, focusing on two-dimensional profiles, is provided thanks to the comparison with a sharp-interface approach for the evolution of the early stages. Then, the long-time-scale dynamics is shown in two and three dimensions, providing a general overview of the features observed during deposition on corrugated surfaces involving flattening, increasing of surface roughness with the growth of columnar structures, and voids formation.

(46) Growth of ScN(111) on Sc2O3(111) for GaN integration on Si(111): Experiment and ab-initio Calculations
P. Sana, H. Tetzner, J. Dabrowski, L. Lupina, I. Costina, S.B. Thapa, P. Storck, T. Schroeder, M.H. Zoellner
Journal of Applied Physics 120, 135103 (2016)
(Different)
Growth mechanism of ScN on Sc2O3 for integration of Ga-polar GaN on Si(111) is investigated by in-situ X-ray photoemission spectroscopy, ex-situ time-of-flight secondary ion mass spectrometry, atomic force microscopy, and ab-initio density functional theory (DFT) calculations. The ScN films are grown by molecular beam epitaxy from e-beam evaporated Sc and N plasma. The films grow in a layer-by-layer (Frank–van der Merwe, FM) fashion. Diffusion of nitrogen into Sc2O3 and segregation of oxygen onto ScN are observed. The segregated O atoms are gradually removed from the surface by N atoms from the plasma. Experiment and theory show that nitrogen cannot be efficiently incorporated into Sc2O3 by exposing it to N plasma alone, and calculations indicate that anion intermixing between ScN and Sc2O3 should be weak. On the basis of ab-initio data, the indiffusion
of N into Sc2O3 is attributed mostly to the effect of interaction between ScN ad-dimers on
the Sc2O3 surface in the initial stage of growth. The segregation of O to the ScN surface is understood as driven by the tendency to compensate build-up of the electric field in the polar ScN film. This segregation is computed to be energetically favorable (by 0.4 eV per O atom) already for a monolayer of ScN; the energy gain increases to 1.0 eV and 1.6 eV per O atom for two and three ScN layers, respectively. Finally, it is verified by DFT that the ScN deposition method in which Sc metallic film is deposited first and then nitridized would lead to strong incorporation of O into the grown film, accompanied by strong reduction of the Sc2O3 substrate.

(47) Selective Growth of Fully Relaxed GeSn Nano Islands on Patterned Si(001) by High Temperature Growth
V. Schlykow, N. Taoka, M.H. Zoellner, O. Skibitzki, P. Zaumseil, G. Capellini, Y. Yamamoto, T. Schroeder, G. Niu
Proc. International SiGe Technology and Device Meeting (ISTDM 2016), abstr., 92 (2016)

(48) Selective Growth of Fully Relaxed GeSn Nano Islands by Nanoheteroepitaxy on Patterned Si(001)
V. Schlykow, W.M. Klesse, G. Niu, N. Taoka, Y. Yamamoto, O. Skibitzki, M.R. Barget P. Zaumseil, H. von Känel, M.A. Schubert, G. Capellini, T. Schroeder
Applied Physics Letters 109, 202102 (2016)
(DFG-DACh)
In this letter, we explore in detail the potential of nanoheteroepitaxy to controllably fabricate high
quality GeSn nano-structures and to further improve the crystallinity of GeSn alloys directly grown on Si(001). The GeSn was grown by molecular beam epitaxy at relatively high temperatures up to 750 °C on pre-patterned Si nano-pillars embedded in a SiO2 matrix. The best compromise between selective GeSn growth and homogenous Sn incorporation of 1.4% was achieved at a growth temperature of 600 °C. X-ray diffraction measurements confirmed that our growth approach results in both fully relaxed GeSn nano-islands and negligible Si interdiffusion into the core of the nanostructures. Detailed transmission electron microscopy characterizations show that only the small GeSn/Si interface area reveals defects, such as stacking faults. Importantly, the main part of the GeSn islands is defect-free and of high crystalline quality. The latter was further demonstrated by photoluminescence measurements where a clear redshift of the direct ΓCV transition was observed with increasing Sn content.

(49) Analysis of EL emitted by LEDs on Si Substrates Containing GeSn/Ge Multi Quantum Wells as Active Layers
B. Schwartz, P. Saring, T. Arguirov, M. Oehme, K. Kostecki, E. Kasper, J. Schulze, M. Kittler
Solid State Phenomena 242, 361 (2016)
We analyzed multi quantum well light emitting diodes, consisting of ten alternating GeSn/Ge
layers, were grown by molecular beam epitaxy on Si. The Ge barriers were 10 nm thick and the GeSn wells were grown with 7 % Sn and thicknesses between 6 and 12 nm. Despite the high threading dislocation density in the range of 109 cm-2 the electroluminescence spectra measured at 300 and 80 K yield a broad and intensive luminescence band. Deconvolution revealed three major lines produced by the GeSn wells that can be interpreted in terms of quantum confinement. Biaxial compressive strain causes a splitting of light and heavy holes in the GeSn wells. We interpret the three lines to represent two direct lines, formed by transitions with the light and heavy hole band, respectively, and an indirect line.

(50) Impact of Oxygen Stoichiometry on Electroforming and Multiple Switching Modes in TiN/TaOx/Pt based ReRAM
S.U. Sharath, M.J. Joseph, S. Vogel, E. Hildebrandt, P. Komissinskiy, J. Kurian, T. Schroeder, L. Alff
Applied Physics Letters 109, 173503 (2016)
We have investigated the material and electrical properties of tantalum oxide thin films (TaOx) with engineered oxygen contents grown by RF-plasma assisted molecular beam epitaxy. The optical bandgap and the density of the TaOx films change consistently with oxygen contents in the range of 3.63 to 4.66 eV and 12.4 to 9.0 g/cm3, respectively. When exposed to atmosphere, an oxidized Ta2O5-y surface layer forms with a maximal thickness of 1.2 nm depending on the initial oxygen deficiency of the film. X-ray photoelectron spectroscopy studies show that multiple sub-stoichiometric compositions occur in oxygen deficient TaOx thin films, where all valence states of Ta including metallic Ta are possible. Devices of the form Pt/Ta2O5-y/TaOx/TiN exhibit highly tunable forming voltages of 10.5V to 1.5V with decreasing oxygen contents in TaOx. While a stable bipolar resistive switching (BRS) occurs in all devices irrespective of oxygen content, unipolar switching was found to coexist with BRS only at higher oxygen contents, which transforms to a threshold switching behaviour in the devices grown under highest oxidation.

(51) Ge Virtual Substrate Growth on Micrometer-Scaled Si Pillars by RPCVD
O. Skibitzki, G. Capellini, F. Montalenti, M.R. Barget, A. Marzegalli, P. Zaumseil, Y. Yamamoto, M.A. Schubert, F. Pezzoli, E. Bonera, A. Scaccabarozzi, R. Bergamaschini, M. Salvalaglio, T. Schroeder, L. Miglio
Proc. 8th International SiGe Technology and Device Meeting (ISTDM 2016), abstr., 133 (2016)

(52) Reduced-Pressure Chemical Vapor Deposition Growth of Isolated Ge Crystals and Suspended Layers on Micrometric Si Pillars
O. Skibitzki, G. Capellini, Y. Yamamoto, P. Zaumseil, M.A. Schubert, T. Schroeder, A. Ballabio, R. Bergamaschini, M. Salvalaglio, L. Miglio, F. Montalenti
ACS Applied Materials & Interfaces 8, 26374 (2016)
(DFG-DACh)
In this work, we demonstrate the growth of Ge crystals and suspended continuous layers on Si(001) substrates deeply patterned in high aspect-ratio pillars. The material deposition was carried out in a commercial reduced-pressure chemical vapor deposition (RPCVD) reactor, thus extending the “vertical-heteroepitaxy” technique developed by using the peculiar Low-energy plasma-enhanced chemical vapor deposition reactor (LEPECVD), to widely available epitaxial tools. The growth process was thoroughly analyzed, from the formation of small initial seeds to the final coalescence into a continuous suspended layer, by means of Scanning- and Transmission electron microscopy, x-ray diffraction, and -Raman spectroscopy. The pre-oxidation of the Si pillars sidewalls and the addition of hydrochloric gas in the reactants proved to be key to achieve highly selective Ge growth on the pillars top only, which, in turn, is needed to promote the formation of a continuous Ge layer.
Thanks to continuum growth models we were able to single out the different roles played by thermodynamics and kinetics in the deposition dynamics.
We believe that our findings will open the way to the low-cost realization of tens of µm thick heteroepitaxial layer (e.g. Ge, SiC, GaAs) on Si having high crystal quality.

(53) Sn Migration Control at High Temperature Due to High Deposition Speed for Forming High Quality GeSn Layer
N. Taoka, G. Capellini, N. von den Driesch, D. Buca, P. Zaumseil, M.A. Schubert, W.M. Klesse, M. Montanari, T. Schroeder
Applied Physics Express 9, 031201 (2016)
(Ge Laser)
A key factor for controlling Sn migration during GeSn deposition at a high temperature of 400 °C was investigated. Calculated results with a simple model for the Sn migration and experimental results clarified that low-deposition-speed (vd) deposition with vd’s of 0.68 and 2.8nm/min induces significant Sn precipitation, whereas high-deposition-speed (vd = 13nm/min) deposition leads to high crystallinity and good photoluminescence spectrum of the GeSn layer. These results indicate that vd is a key parameter, and that control of Sn migration at a high temperature is possible. These results are of great relevance for the application of high-quality Sn-based alloys in future optoelectronics devices.

(54) Electrical and Optical Properties Improvement of GeSn Layers Formed at High Temperature under Well-Controlled Sn Migration
N. Taoka, G. Capellini, V. Schlykow, M. Montanari, P. Zaumseil, O. Nakatsuka, S. Zaima, T. Schroeder
Proc. International Symposium on Control of Semiconductor Interfaces (ISCSI 2016) abstr., 96 (2016)
(Ge Laser)

(55) Structural and Electronic Properties of Graphene Flakes on Au(111) and Ag(111)
J. Tesch, P. Leicht, F. Blumenschein, L. Gragnaniello, M. Fonin, L. Steinkasserer, B. Paulus, E. Voloshina, Y. Dedkov
Scientific Reports 6, 23439 (2016)
We investigate the electronic properties of graphene nanoflakes on Ag(111) and Au(111) surfaces by means of scanning tunnelling microscopy and spectroscopy as well as density functional theory calculations. Quasiparticle interference mapping allows for the clear distinction of substrate-derived contributions in scattering and those originating from graphene. Our analysis shows that the parabolic dispersion of Au(111) and Ag(111) surface states remains unchanged with the band minimum shifted to higher energies for the regions of the metal surface covered by graphene. On both substrates, graphene shows a linear dispersion relation E(k), with a slight p-doping for graphene/Au(111) and a larger n-doping for graphene/Ag(111), reflecting a rather weak interaction between graphene and metal surface. The obtained experimental data (doping level, band dispersions around EF , and Fermi velocity) are very well reproduced within DFT-D2/D3 approaches, which provide a detailed insight into the site-specific interaction between graphene and the underlying substrate.

(56) Application of Microwave Sensor Technology in Cardiovascular Disease for Plaque Detection
D. Wagner, S. Vogt, F.I. Jamal, S. Guha, Ch. Wenger, J. Wessel, D. Kissinger, K. Pitschmann, U. Schumann, B. Schmidt, M. Detert
Biomedical Engineering / Biomedizinische Technik 2(1), 273 (2016)
(PlaqueCharM)
Arteriosclerosis and associated cardiovascular disease remains the leading cause of mortality. Improved methods for vascular plaque detection allow early diagnose and better therapeutic options. Present diagnostic tools require intense technical expenditure and diminish value of modern screening methods. Our group developed an microwave sensor for on-site detection of plaque formation in arterial vessels. The sensor is an oscillator working
around 27 GHz which is coupled to a microstrip stub line. The final flexible polyimid interposer has a length of 38 cm, a width of 1.2 mm and a thickness of 200 μm. Because of its minimal size the interposer completed a catheter with a diameter of 8F ready for further clinical use
in cardiology and heart surgery.

(57) Packaging of a BiCMOS Sensor on a Catheter Tip for the Characterisation of Atherosclerotic Plaque
D. Wagner, F.I. Jamal, S. Guha, Ch. Wenger, J. Wessel, D. Kissinger, D. Ernst, K. Pitschmann, B. Schmidt, M. Detert
Proc. 6th Electronics System-Integration Technology Conference (ESTC 2016), (2016)
(PlaqueCharM)

(58) Photoluminescence From Ultrathin Ge-Rich Multiple Quantum Wells Observed up to Room Temperature: Experiments and Modeling
T. Wendav, I.A. Fischer, M. Virgilio, G. Capellini, F. Oliveira, M.F. Cerqueira, A. Benedetti, S. Chiussi, P. Zaumseil, B. Schwartz, K. Busch, J. Schulze
Physical Review B 94, 245304 (2016)
Employing a low-temperature growth mode, we fabricated ultrathin Si1−xGex /Si multiple quantum well structures with a well thickness of less than 1.5 nm and a Ge concentration above 60% directly on a Si substrate. We identified an unusual temperature-dependent blueshift of the photoluminescence (PL) and exceptionally low thermal quenching. We find that this behavior is related to the relative intensities of the no-phonon (NP) peak and a phonon-assisted replica that are the main contributors to the total PL signal. To investigate these aspects in more detail, we developed a strategy to calculate the PL spectrum employing a self-consistent multivalley effective mass model, in combination with second-order perturbation theory. Through our investigation, we find that while the phonon-assisted feature decreases with temperature, the NP feature shows a strong increase in the recombination rate. Besides leading to the observed robustness against thermal quenching, this causes the
observed blueshift of the total PL signal.

(59) Compositional Dependence of the Band-Gap of Ge1-x-ySixSny Alloys
T. Wendav, I.A. Fischer, M. Montanari, M.H. Zoellner, W.M. Klesse, G. Capellini, N. von den Driesch, M. Oehme, D. Buca, K. Busch, J. Schulze
Applied Physics Letters 108, 242104 (2016)
The group-IV semiconductor alloy Ge1-x-ySixSny has recently attracted great interest due to its prospective potential for use in optoelectronics, electronics, and photovoltaics. Here, we investigate molecular beam epitaxy grown Ge1-x-ySixSny alloys lattice-matched to Ge with large Si and Sn concentrations of up to 42% and 10%, respectively. The samples were characterized in detail by Rutherford backscattering/channeling spectroscopy for composition and crystal quality, x-ray diffraction for strain determination, and photoluminescence spectroscopy for the assessment of band-gap energies. Moreover, the experimentally extracted material parameters were used to determine the SiSn bowing and to make predictions about the optical transition energy.

(60) Successfully Decapsulating BGA Packages: How To
Ch. Wittke, Z. Dyka, O. Skibitzki, P. Langendörfer
Proc. 24th Crypto-Day, 1 (2016)

(61) Preparation of SCA Attacks: Successfully Decapsulating BGA Packages
Ch. Wittke, Z. Dyka, O. Skibitzki, P. Langendörfer
Proc. 9th International Conference on Security for Information Technology and Communications, (2016)


(62) Fully Coherent Ge Growth on Nano-Pillar by Selective Epitaxy
Y. Yamamoto, P. Zaumseil, M.A. Schubert, G. Capellini, T. Schroeder, B. Tillack
Proc. 7th International Symposium on Control of Semiconductor Interfaces (ISCSI-VII) and Internatinal SiGe Technology and Device Meeting (ISTDM 2016), abstr. 84 (2016)

(63) Photoluminescence of Phosphorus Doped Ge on Si(100)
Y. Yamamoto, G. Capellini, N. Taoka, M. Montanari, P. Zaumseil, A. Hesse, T. Schroeder, B. Tillack
Proc. 8th International SiGe Technology and Device Meeting (ISTDM 2016), abstr., 69 (2016)

(64) Effects of Topographic Cross-Talk on Imaging and Spectroscopy in Band Excitation Piezoresponse Force Microscopy
S.M. Yang, L. Mazet, M. Baris Okatan, St. Jesse, G. Niu, T. Schroeder, S. Schamm-Chardon, C. Dubourdieu, A.P. Baddorf, S.V. Kalinin
Applied Physics Letters 108, 252902 (2016)
All scanning probe microscopies are subjected to topographic cross-talk, meaning the topographyrelated contrast in functional images. Here, we investigate the signatures of indirect topographic cross-talk in piezoresponse force microscopy (PFM) imaging and spectroscopy and its decoupling using band excitation (BE) method in ferroelectric BaTiO3 deposited on the Si substrates with free standing nanopillars of diameter 50 nm. Comparison between the single-frequency PFM and BEPFM
results shows that the measured signal can be significantly distorted by topography-induced
shifts in the contact resonance frequency and cantilever transfer function. However, with proper correction, such shifts do not affect PFM imaging and hysteresis loop measurements. This suggests the necessity of an advanced approach, such as BE-PFM, for detection of intrinsic sample piezoresponse on the topographically non-uniform surfaces.

(65) Misfit Dislocation Free Epitaxial Growth of SiGe on Compliant Nano-Structured Silicon
P. Zaumseil, Y. Yamamoto, M.A. Schubert, G. Capellini, T. Schroeder
Solid State Phenomena 242, 402 (2016)
(Ge Nanoheteroepitaxy)
The integration of germanium (Ge) into silicon-based microelectronics technologies is
currently attracting increasing interest and research effort. One way to realize this without threading and misfit dislocations is the so-called nanoheteroepitaxy approach. We demonstrate that a modified Si nano-structure approach with nano-pillars or bars separated by TEOS SiO2 can be used successfully to deposit SiGe dots and lines free of misfit dislocations. It was found that strain relaxation in the pseudomorphically grown SiGe happens fully elastically. These studies are important for the understanding of the behavior of nano-structured Si for the final goal of Ge integration via SiGe buffer.

The website is designed for modern browsers. Please use a current browser.