Publications 2023

Script list Publications

(1) Chemical Vapor Deposition Growth of Graphene on 200 mm Ge(110)/Si Wafers and Ab Initio Analysis of Differences in Growth Mechanisms on Ge(110) and Ge(001)
F. Akhtar, J. Dabrowski, R. Lukose, Ch. Wenger, M. Lukosius
ACS Applied Materials & Interfaces 15(30), 36966 (2023)
DOI: 10.1021/acsami.3c05860, (2D-EPL)
For the fabrication of modern graphene devices, uniform growth of high-quality monolayer graphene on wafer scale is important. This work reports on the growth of large-scale graphene on semiconducting 8 inch Ge(110)/Si wafers by chemical vapor deposition and a DFT analysis of the growth process. Good graphene quality is indicated by the small FWHM (32 cm–1) of the Raman 2D band, low intensity ratio of the Raman D and G bands (0.06), and homogeneous SEM images and is confirmed by Hall measurements: high mobility (2700 cm2/Vs) and low sheet resistance (800 Ω/sq). In contrast to Ge(001), Ge(110) does not undergo faceting during the growth. We argue that Ge(001) roughens as a result of vacancy accumulation at pinned steps, easy motion of bonded graphene edges across (107) facets, and low energy cost to expand Ge area by surface vicinals, but on Ge(110), these mechanisms do not work due to different surface geometries and complex reconstruction.

(2) Wave Digital Emulation of an Enhanced Compact Model for RRAM Devices with Multilevel Capability
B. Al Beattie, E. Perez-Bosch Quesada, M. Uhlmann, E. Perez, G. Kahmen, E. Solan, K. Ochs
IEEE Transactions on Nanotechnology 22, 753 (2023)
DOI: 10.1109/TNANO.2023.3328821, (KI-PRO)
The reliable and compact modeling of RRAM devices is crucial for supporting the development of novel technologies including them. The latter includes a wide range of applications, such as in-memory computing in neuromorphic networks or memristive logic. A major advantage of the considered HfO2-based RRAM devices is their CMOS-compatibility, which allows them to already be utilized in present applications. However, one problem with RRAMs is that their fabrication still leads to device variabilities. This makes it challenging to test the functionality of aspiring technologies utilizing them in an experimental fashion.
This work is dedicated to the compact modeling and efficient emulation of 1T-1R RRAM devices. Specifically, we aim to provide an enhanced model, based on the Stanford-PKU model, that can be used on any simulation platform such as SPICE, VERLIOGA, or even standard ODE solvers to simulate multilevel capable RRAM devices. Furthermore, we provide an algorithmic model, based on the wave digital concept, which allows for emulating the considered RRAM device in real-time. Using the latter, we show the hysteresis of our enhanced model to exhibit astounding resemblance with real device measurements.

(3) Wave Digital Emulation of an Enhanced Compact Model for RRAM Devices with Multilevel Capability
B. Al Beattie, E. Perez-Bosch Quesada, M. Uhlmann, E. Perez, G. Kahmen, E. Solan, K. Ochs
IEEE Transactions on Nanotechnology 22, 753 (2023)
DOI: 10.1109/TNANO.2023.3328821, (KI-IoT)
The reliable and compact modeling of RRAM devices is crucial for supporting the development of novel technologies including them. The latter includes a wide range of applications, such as in-memory computing in neuromorphic networks or memristive logic. A major advantage of the considered HfO2-based RRAM devices is their CMOS-compatibility, which allows them to already be utilized in present applications. However, one problem with RRAMs is that their fabrication still leads to device variabilities. This makes it challenging to test the functionality of aspiring technologies utilizing them in an experimental fashion.
This work is dedicated to the compact modeling and efficient emulation of 1T-1R RRAM devices. Specifically, we aim to provide an enhanced model, based on the Stanford-PKU model, that can be used on any simulation platform such as SPICE, VERLIOGA, or even standard ODE solvers to simulate multilevel capable RRAM devices. Furthermore, we provide an algorithmic model, based on the wave digital concept, which allows for emulating the considered RRAM device in real-time. Using the latter, we show the hysteresis of our enhanced model to exhibit astounding resemblance with real device measurements.

(4) Wave Digital Emulation of an Enhanced Compact Model for RRAM Devices with Multilevel Capability
B. Al Beattie, E. Perez-Bosch Quesada, M. Uhlmann, E. Perez, G. Kahmen, E. Solan, K. Ochs
IEEE Transactions on Nanotechnology 22, 753 (2023)
DOI: 10.1109/TNANO.2023.3328821, (MIMEC)
The reliable and compact modeling of RRAM devices is crucial for supporting the development of novel technologies including them. The latter includes a wide range of applications, such as in-memory computing in neuromorphic networks or memristive logic. A major advantage of the considered HfO2-based RRAM devices is their CMOS-compatibility, which allows them to already be utilized in present applications. However, one problem with RRAMs is that their fabrication still leads to device variabilities. This makes it challenging to test the functionality of aspiring technologies utilizing them in an experimental fashion.
This work is dedicated to the compact modeling and efficient emulation of 1T-1R RRAM devices. Specifically, we aim to provide an enhanced model, based on the Stanford-PKU model, that can be used on any simulation platform such as SPICE, VERLIOGA, or even standard ODE solvers to simulate multilevel capable RRAM devices. Furthermore, we provide an algorithmic model, based on the wave digital concept, which allows for emulating the considered RRAM device in real-time. Using the latter, we show the hysteresis of our enhanced model to exhibit astounding resemblance with real device measurements.

(5) Lateral Selective SiGe Growth for Local Dislocation-Free SiGe-on-Insulator Virtual Substrate Fabrication
K. Anand, M.A. Schubert, D. Spirito, A.A. Corley-Wiciak, C. Corley-Wiciak, W.M. Klesse, A. Mai, B. Tillack, Y. Yamamoto
ECS Journal of Solid State Science and Technology 12(2), 024003 (2023)
DOI: 10.1149/2162-8777/acb739
Dislocation-free local SiGe-on-insulator (SGOI) virtual substrate is fabricated using lateral selective SiGe growth by reduced pressure chemical vapor deposition. The lateral selective SiGe growth is performed around ~1.25 μm square Si (001) pillar in a cavity formed by HCl vapor phase etching of Si at 850°C from side of SiO2 / Si mesa structure on buried oxide. Smooth root mean square roughness of SiGe surface of 0.14 nm, which is determined by interface roughness between the sacrificially etched Si and the SiO2 cap, is obtained. Uniform Ge content of ~40% in the laterally grown SiGe is observed. In the Si pillar, tensile strain of ~0.65% is found which could be due to thermal expansion difference between SiO2 and Si. In the SiGe, tensile strain of ~1.4% along <010> direction, which is higher compared to that along <110> direction, is observed. The tensile strain is induced from both [110] and [-110] directions. Threading dislocations in the SiGe are located only ~400 nm from Si pillar and stacking faults are running towards <110> directions, resulting in wide dislocation-free area formation in SiGe along <010> due to horizontal aspect ratio trapping.

(6) Polarization-Tuned Fano Resonances in All-Dielectric Short-Wave Infrared Metasurface
A. Attiaoui, G. Daligou, S. Assali, O. Skibitzki, T. Schroeder, O. Moutanabbir
Advanced Materials 35(28), 2300595 (2023)
DOI: 10.1002/adma.202300595, (NHEQuanLEA)
The short-wave infrared (SWIR) is an underexploited portion of the electromagnetic spectrum in metasurface-based nanophotonics despite its strategic importance in sensing and imaging applications. This is mainly attributed to the lack of material systems to tailor light-matter interactions in this range. Herein, we address this limitation and demonstrate an all-dielectric silicon-integrated metasurface enabling polarization-induced Fano resonance control at SWIR frequencies. The platform consists of a two-dimensional Si/Ge0.9Sn0.1 core/shell nanowire array on a silicon wafer. By tuning the light polarization, we show that the metasurface reflectance can be efficiently engineered due to Fano resonances emerging from the electric and magnetic dipoles competition. The interference of optically induced dipoles in high-index nanowire arrays offers additional degrees of freedom to tailor the directional scattering and the flow of light while enabling sharp polarization-modulated resonances. This tunablity is harnessed in nanosensors yielding an efficient detection of 10−2 changes in the refractive index of the surrounding medium.

(7) Fabrication of Gate Electrodes for Scalable Quantum Computing using CMOS Industry Compatible E-Beam Lithography and Numerical Simulation of the Resulting Quantum Device
V. Brackmann, M. Neul, M. Friedrich, W. Langheinrich, M. Simon, S. Pregl, A. Demmler, N. Hanisch, M. Lederer, K. Zimmermann, J. Klos, F. Reichmann, Y. Yamamoto, M. Wislicenus, C. Dahl, L. Schreiber, H. Bluhm, B. Lilienthal-Uhlig
Proc. 38th Mask and Lithography Conference (ELMC 2023), 12802, 128020F (2023)
DOI: 10.1117/12.2675943, (QUASAR)

(8) Study on the Metal-Graphene Contact Resistance Achieved with One-Dimensional Contact Architecture
D. Capista, R. Lukose, F. Majnoon, M. Lisker, Ch. Wenger, M. Lukosius
Proc. IEEE Nanotechnology Materials and Devices Conference (NMDC 2023), 109 (2023)
DOI: 10.1109/NMDC57951.2023.10343775, (2D-EPL)

(9) Study on the Metal-Graphene Contact Resistance Achieved with One-Dimensional Contact Architecture
D. Capista, R. Lukose, F. Majnoon, M. Lisker, Ch. Wenger, M. Lukosius
Proc. IEEE Nanotechnology Materials and Devices Conference (NMDC 2023), 109 (2023)
DOI: 10.1109/NMDC57951.2023.10343775, (Graphen)

(10) SWCNT-Si Photodetector with Position-Dependent Photoresponse
D. Capista, F. Giubileo, L. Lozzi, N. Martucciello, A. Di Bartolomeo, M. Passacantando
Proc. IEEE Nanotechnology Materials and Devices Conference (NMDC 2023), 345 (2023)
DOI: 10.1109/NMDC57951.2023.10344276

(11) Structural and Electrical Characterization of Cerium-Tin Oxide Heterolayers for Hydrogen Sensing
C.A. Chavarin, I. Costina, Ch. Wenger, M. Ratzke, C. Morales Sanchez, Y. Kosto, I. Flege, I.A. Fischer
Proc. 10. MikroSystemTechnik Kongress (MST 2023), 1 (2023)
(iCampus II)

(12) Isothermal Heteroepitaxy of Ge1-xSnx Structures for Electronic and Photonic Applications
O. Concepción, N.B. Søgaard, J.-H. Bae, Y. Yamamoto, A.T. Tiedemann, Z. Ikonic, G. Capellini, Q.T. Zhao, D. Grützmacher, D. Buca
ACS Applied Electronic Materials 5(4), 2268 (2023)
DOI: 10.1021/acsaelm.3c00112, (DFG GeSn Laser)
Epitaxy of semiconductor-based quantum well structures is a challenging task since it requires precise control of the deposition at the submonolayer scale. In the case of Ge1–xSnx alloys, the growth is particularly demanding since the lattice strain and the process temperature greatly impact the composition of the epitaxial layers. In this paper, the realization of high-quality pseudomorphic Ge1–xSnx layers with Sn content ranging from 6 at. % up to 15 at. % using isothermal processes in an industry-compatible reduced-pressure chemical vapor deposition reactor is presented. The epitaxy of Ge1–xSnlayers has been optimized for a standard process offering a high Sn concentration at a large process window. By varying the N2 carrier gas flow, isothermal heterostructure designs suitable for quantum transport and spintronic devices are obtained.

(13) Si-Ge-Sn Heterostructures Grown by Chemical Vapor Deposition for Electronic and Photonic Devices
O. Concepción, Y. Yamamoto, G. Capellini, M. El-Kurdi, Q.-T. Zhao, D. Buca, D. Grützmacher
Proc. 55th International Conference on Solid State Devices and Materials (SSDM 2023), 517 (2023)

(14) Local Alloy Order in Ge1-xSnx/Ge Epitaxial Layer
A.A. Corley-Wiciak, S. Chen, O. Concepción, M.H. Zoellner, D. Grützmacher, D. Buca, T. Li, G. Capellini, D. Spirito
Physical Review Applied 20(2), 024021 (2023)
DOI: 10.1103/PhysRevApplied.20.024021, (SiGeSn TE)
The local ordering of alloys directly influences their electronic and optical properties. In this work the atomic arrangement in optoelectronic-grade GeSn epitaxial layers featuring a Sn content in the 5-14% range is investigated. By using polarization-dependent Raman spectroscopy and density functional theory calculations, different local environments for Ge atoms, induced by the Sn atoms and their corresponding distortion of the bond are identified, that give rise to two spectral features at different energies. Furthermore, all the other observed vibrational modes are associated with a combination of Ge and Sn displacement.  This analysis provides a valuable framework for advancing the understanding of the vibrational properties in (Si)GeSn alloys, particularly with regard to the impact of local ordering of the different atomic species.

(15) Lattice Deformation at the Submicron Scale: X-Ray Nanobeam Measurements of Elastic Strain in Electron Shuttling Devices
C. Corley-Wiciak, M.H. Zoellner, I. Zaitsev, K. Anand, E. Zatterin, Y. Yamamoto, A.A. Corley-Wiciak, F. Reichmann, W. Langheinrich, L.R. Schreiber, C.L. Manganelli, M. Virgilio, C. Richter, G. Capellini
Physical Review Applied 20(2), 024056 (2023)
DOI: 10.1103/PhysRevApplied.20.024056, (SiGeQuant)
The lattice strain induced by metal electrodes can impair the functionality of advanced quantum devices operating with electron or hole spins. Here we investigate the deformation induced by CMOS-manufactured titanium nitride electrodes on the lattice of a buried, 10 nm-thick Si/SiGe Quantum Well by means of nanobeam Scanning X-ray Diffraction Microscopy. We were able to measure TiN electrode-induced local modulations of the strain tensor components in the range of 2 – 8 × 10−4 with 50 nm lateral resolution. We have evaluated that these strain fluctuations are reflected into local modulations of the potential of the conduction band minimum larger than 2 meV, which is close to the orbital energy of an electrostatic quantum dot. We observe that the sign of the strain modulations at a given depth of the quantum well layer depends on the lateral dimensions of the electrodes. Since our work explores the impact of device geometry on the strain-induced energy landscape, it enables further optimization of the design of scaled CMOS-processed quantum devices.

(16) Lattice Deformation at the Submicron Scale: X-Ray Nanobeam Measurements of Elastic Strain in Electron Shuttling Devices
C. Corley-Wiciak, M.H. Zoellner, I. Zaitsev, K. Anand, E. Zatterin, Y. Yamamoto, A.A. Corley-Wiciak, F. Reichmann, W. Langheinrich, L.R. Schreiber, C.L. Manganelli, M. Virgilio, C. Richter, G. Capellini
Physical Review Applied 20(2), 024056 (2023)
DOI: 10.1103/PhysRevApplied.20.024056, (QLSI)
The lattice strain induced by metal electrodes can impair the functionality of advanced quantum devices operating with electron or hole spins. Here we investigate the deformation induced by CMOS-manufactured titanium nitride electrodes on the lattice of a buried, 10 nm-thick Si/SiGe Quantum Well by means of nanobeam Scanning X-ray Diffraction Microscopy. We were able to measure TiN electrode-induced local modulations of the strain tensor components in the range of 2 – 8 × 10−4 with 50 nm lateral resolution. We have evaluated that these strain fluctuations are reflected into local modulations of the potential of the conduction band minimum larger than 2 meV, which is close to the orbital energy of an electrostatic quantum dot. We observe that the sign of the strain modulations at a given depth of the quantum well layer depends on the lateral dimensions of the electrodes. Since our work explores the impact of device geometry on the strain-induced energy landscape, it enables further optimization of the design of scaled CMOS-processed quantum devices.

(17) Lattice Deformation at the Submicron Scale: X-Ray Nanobeam Measurements of Elastic Strain in Electron Shuttling Devices
C. Corley-Wiciak, M.H. Zoellner, I. Zaitsev, K. Anand, E. Zatterin, Y. Yamamoto, A.A. Corley-Wiciak, F. Reichmann, W. Langheinrich, L.R. Schreiber, C.L. Manganelli, M. Virgilio, C. Richter, G. Capellini
Physical Review Applied 20(2), 024056 (2023)
DOI: 10.1103/PhysRevApplied.20.024056, (QUASAR)
The lattice strain induced by metal electrodes can impair the functionality of advanced quantum devices operating with electron or hole spins. Here we investigate the deformation induced by CMOS-manufactured titanium nitride electrodes on the lattice of a buried, 10 nm-thick Si/SiGe Quantum Well by means of nanobeam Scanning X-ray Diffraction Microscopy. We were able to measure TiN electrode-induced local modulations of the strain tensor components in the range of 2 – 8 × 10−4 with 50 nm lateral resolution. We have evaluated that these strain fluctuations are reflected into local modulations of the potential of the conduction band minimum larger than 2 meV, which is close to the orbital energy of an electrostatic quantum dot. We observe that the sign of the strain modulations at a given depth of the quantum well layer depends on the lateral dimensions of the electrodes. Since our work explores the impact of device geometry on the strain-induced energy landscape, it enables further optimization of the design of scaled CMOS-processed quantum devices.

(18) Nanoscale Mapping of the 3D Strain Tensor in a Germanium Quantum Well Hosting a Functional Spin Qubit Device
C. Corley-Wiciak, C. Richter, M.H. Zoellner, I. Zaitev, C.L. Manganelli, E. Zatterin, T.U. Schülli, A.A. Corley-Wiciak, J. Katzer, F. Reichmann, W.M. Klesse, N.W. Hendrickx, A. Sammak, M. Veldhorst, G. Scappucci, M. Virgilio, G. Capellini
ACS Applied Materials & Interfaces 15(2), 3119 (2023)
DOI: 10.1021/acsami.2c17395, (QUASAR)
A strained Ge quantum well, grown on a SiGe/Si virtual substrate and hosting two electrostatically defined hole spin qubits, is nondestructively investigated by Synchrotron-based Scanning X-ray Diffraction Microscopy to determine all its Bravais lattice parameters.
This allows rendering the three-dimensional spatial dependence of the six strain tensor components with a lateral resolution of approx. 50 nm. Two different spatial scales governing the strain field fluctuations in the proximity of the qubits are observed over < 100 nm and > 1μm respectively. The short-ranged fluctuations have a typical bandwidth of 2 · 10−4 and can be quantitatively linked to the compressive stressing action of the metal electrodes defining the qubits. By finite element mechanical simulations it is estimated that this strain fluctuation is increased up to 6·10−4 at cryogenic temperature. The longer-ranged fluctuations are of the 10−3 order, and are associated to misfit dislocations in the plastically-relaxed virtual substrate. From this, energy variations of the light and heavy-hole energy maxima of the order of several 100 μeV and 1 meV are calculated for electrodes and dislocations, respectively. These insights over material related inhomogeneities may feed into further modelling for the optimization and design of large-scale quantum processors manufactured using the mainstream Si-based microelectronics technology.

(19) Nanoscale Mapping of the 3D Strain Tensor in a Germanium Quantum Well Hosting a Functional Spin Qubit Device
C. Corley-Wiciak, C. Richter, M.H. Zoellner, I. Zaitev, C.L. Manganelli, E. Zatterin, T.U. Schülli, A.A. Corley-Wiciak, J. Katzer, F. Reichmann, W.M. Klesse, N.W. Hendrickx, A. Sammak, M. Veldhorst, G. Scappucci, M. Virgilio, G. Capellini
ACS Applied Materials & Interfaces 15(2), 3119 (2023)
DOI: 10.1021/acsami.2c17395, (SiGeQuant)
A strained Ge quantum well, grown on a SiGe/Si virtual substrate and hosting two electrostatically defined hole spin qubits, is nondestructively investigated by Synchrotron-based Scanning X-ray Diffraction Microscopy to determine all its Bravais lattice parameters.
This allows rendering the three-dimensional spatial dependence of the six strain tensor components with a lateral resolution of approx. 50 nm. Two different spatial scales governing the strain field fluctuations in the proximity of the qubits are observed over < 100 nm and > 1μm respectively. The short-ranged fluctuations have a typical bandwidth of 2 · 10−4 and can be quantitatively linked to the compressive stressing action of the metal electrodes defining the qubits. By finite element mechanical simulations it is estimated that this strain fluctuation is increased up to 6·10−4 at cryogenic temperature. The longer-ranged fluctuations are of the 10−3 order, and are associated to misfit dislocations in the plastically-relaxed virtual substrate. From this, energy variations of the light and heavy-hole energy maxima of the order of several 100 μeV and 1 meV are calculated for electrodes and dislocations, respectively. These insights over material related inhomogeneities may feed into further modelling for the optimization and design of large-scale quantum processors manufactured using the mainstream Si-based microelectronics technology.

(20) Polarized-Resolved Raman Scattering of Epitaxially Grown (Si)GeSn Layers
A.A. Corley-Wiciak, S. Chen, O. Concepción, M.H. Zoellner, D. Grützmacher, D. Buca, T. Li, G. Capellini, D. Spirito
Proc. 14th International WorkShop on New Group IV Semiconductor Nanoelectronics (El4GroupIV 2023), 21 (2023)

(21) Nanoscale Mapping of the 3D Strain Tensor in a Germanium Quantum Well Hosting a Functional Spin Qubit Device
C. Corley-Wiciak, C. Richter, M.H. Zoellner, I. Zaitev, C.L. Manganelli, E. Zatterin, T.U. Schülli, A.A. Corley-Wiciak, J. Katzer, F. Reichmann, W.M. Klesse, N.W. Hendrickx, A. Sammak, M. Veldhorst, G. Scappucci, M. Virgilio, G. Capellini
ACS Applied Materials & Interfaces 15(2), 3119 (2023)
DOI: 10.1021/acsami.2c17395, (QLSI)
A strained Ge quantum well, grown on a SiGe/Si virtual substrate and hosting two electrostatically defined hole spin qubits, is nondestructively investigated by Synchrotron-based Scanning X-ray Diffraction Microscopy to determine all its Bravais lattice parameters.
This allows rendering the three-dimensional spatial dependence of the six strain tensor components with a lateral resolution of approx. 50 nm. Two different spatial scales governing the strain field fluctuations in the proximity of the qubits are observed over < 100 nm and > 1μm respectively. The short-ranged fluctuations have a typical bandwidth of 2 · 10−4 and can be quantitatively linked to the compressive stressing action of the metal electrodes defining the qubits. By finite element mechanical simulations it is estimated that this strain fluctuation is increased up to 6·10−4 at cryogenic temperature. The longer-ranged fluctuations are of the 10−3 order, and are associated to misfit dislocations in the plastically-relaxed virtual substrate. From this, energy variations of the light and heavy-hole energy maxima of the order of several 100 μeV and 1 meV are calculated for electrodes and dislocations, respectively. These insights over material related inhomogeneities may feed into further modelling for the optimization and design of large-scale quantum processors manufactured using the mainstream Si-based microelectronics technology.

(22) Efficient Circuit Simulation of a Memristive Crossbar Array with Synaptic Weight Variability
N. Dersch, E. Perez-Bosch Quesada, E. Perez, Ch. Wenger, Ch. Roemer, M. Schwarz, A. Kloes
Solid-State Electronics 209, 108760 (2023)
DOI: 10.1016/j.sse.2023.108760, (KI-IoT)
In this paper, we present a method for highly-efficient circuit simulation of a hardware-based artificial neural network realized in a memristive crossbar array. The statistical variability of the devices is considered by a noise-based simulation technique. For the simulation of a crossbar array with 8 synaptic weights in Cadence Virtuoso the new approach shows a more than 200x speed improvement compared to a Monte Carlo approach, yielding the same results. In addition, first results of an ANN with more than 15000 memristive devices classifying test data of the MNIST dataset are shown, for which the speed improvement is expected to be several orders of magnitude. Furthermore, the influence on the classification of parasitic resistances of the connection lines in the crossbar is shown.

(23) Prototyping Reconfigurable RRAM-based AI Accelerators using the RISC-V Ecosystem and Digital Twins
M. Fritscher, A. Veronesi, A. Baroni, J. Wen, T. Spätling, M.K. Mahadevaiah, N. Herfurth, E. Perez, M. Ulbricht, M. Reichenbach, A. Hagelauer, M. Krstic
Proc. 1st International Conference on High Performance Computing (ISC High Performance 2023), in: Lecture Notes in Computer Science, Springer, LNCS 13999, 500 (2023) 
DOI: 10.1007/978-3-031-40843-4_37, (KI-PRO)

(24) Prototyping Reconfigurable RRAM-based AI Accelerators using the RISC-V Ecosystem and Digital Twins
M. Fritscher, A. Veronesi, A. Baroni, J. Wen, T. Spätling, M.K. Mahadevaiah, N. Herfurth, E. Perez, M. Ulbricht, M. Reichenbach, A. Hagelauer, M. Krstic
Proc. 1st International Conference on High Performance Computing (ISC High Performance 2023), in: Lecture Notes in Computer Science, Springer, LNCS 13999, 500 (2023) 
DOI: 10.1007/978-3-031-40843-4_37, (iCampus II)

(25) Prototyping Reconfigurable RRAM-based AI Accelerators using the RISC-V Ecosystem and Digital Twins
M. Fritscher, A. Veronesi, A. Baroni, J. Wen, T. Spätling, M.K. Mahadevaiah, N. Herfurth, E. Perez, M. Ulbricht, M. Reichenbach, A. Hagelauer, M. Krstic
Proc. 1st International Conference on High Performance Computing (ISC High Performance 2023), in: Lecture Notes in Computer Science, Springer, LNCS 13999, 500 (2023) 
DOI: 10.1007/978-3-031-40843-4_37, (KI-IoT)

(26) Prototyping Reconfigurable RRAM-based AI Accelerators using the RISC-V Ecosystem and Digital Twins
M. Fritscher, A. Veronesi, A. Baroni, J. Wen, T. Spätling, M.K. Mahadevaiah, N. Herfurth, E. Perez, M. Ulbricht, M. Reichenbach, A. Hagelauer, M. Krstic
Proc. 1st International Conference on High Performance Computing (ISC High Performance 2023), in: Lecture Notes in Computer Science, Springer, LNCS 13999, 500 (2023) 
DOI: 10.1007/978-3-031-40843-4_37, (VE-HEP)

(27) Prototyping Reconfigurable RRAM-based AI Accelerators using the RISC-V Ecosystem and Digital Twins
M. Fritscher, A. Veronesi, A. Baroni, J. Wen, T. Spätling, M.K. Mahadevaiah, N. Herfurth, E. Perez, M. Ulbricht, M. Reichenbach, A. Hagelauer, M. Krstic
Proc. 1st International Conference on High Performance Computing (ISC High Performance 2023), in: Lecture Notes in Computer Science, Springer, LNCS 13999, 500 (2023) 
DOI: 10.1007/978-3-031-40843-4_37, (6G-RIC)

(28) Strongly Enhanced Sensitivities of CMOS Compatible Plasmonic Titanium Nitride Nanohole Arrays for Refractive Index Sensing under Oblique Incidence
W. Han, S. Reiter, J. Schlipf, Ch. Mai, D. Spirito, J. Jose, Ch. Wenger, I.A. Fischer
Optics Express 31(11), 17389 (2023)
DOI: 10.1364/OE.481993, (iCampus)
TiN is a complementary metal-oxide-semiconductor (CMOS) compatible material with large potential for the fabrication of plasmonic structures suited for device integration. However, the comparatively large optical losses can be detrimental for application. This work reports a CMOS compatible TiN nanohole array (NHA) on top of a multilayer stack for potential use in integrated refractive index sensing with high sensitivities. The stack, consisting of the TiN NHA on a silicon dioxide (SiO2) layer with Si as substrate (TiN NHA/SiO2/Si), is prepared using an industrial CMOS compatible process. The TiN NHA/SiO2/Si shows Fano resonances in reflectance spectra under oblique excitation, which are very well reproduced by simulation using both finite difference time domain (FDTD) and rigorous coupled-wave analysis (RCWA) methods. Our systematic simulation-based investigation of the sensitivity of the TiN NHA/SiO2/Si stack under varied conditions reveals that very large sensitivities up to 2305 nm per refractive index unit (nm RIU–1) are predicted when the refractive index of superstrate is similar to that of the SiO2 layer. We analyze in detail how the interplay between plasmonic and photonic resonances such as surface plasmon polaritons (SPPs), localized surface plasmon resonances (LSPRs), Rayleigh Anomalies (RAs), and photonic microcavity modes (Fabry-Pérot resonances) contributes to this result. This work not only reveals the tunability of TiN nanostructures for plasmonic applications but also paves the way to explore efficient devices for sensing in broad conditions.

(29) MBE-Based Growth of Sn-Rich Quantum Wells and Dots at Low Sn Deposition Rates
A. Hayat, D. Spirito, A.A. Corley-Wiciak, M.A. Schubert, M. Masood, F. Reichmann, M. Ratzke, G. Capellini, I.A. Fischer
Materials Science in Semiconductor Processing 165, 107693 (2023)
DOI: 10.1016/j.mssp.2023.107693
Alloying Ge with Sn is a possible route towards obtaining a direct bandgap material that can be integrated with Si technology for optoelectronic device applications. Low-dimensional structures such as GeSn quantum wells or islands are of particular interest, since those applications can benefit from quantum confinement effects. Here, we investigate the formation of Sn-rich quantum wells and islands formed by the deposition of few MLs of Sn on Ge and their overgrowth with Ge both based on a morphological characterization of the samples as well as photoluminescence measurements. We find that a low substrate temperature as well as a low deposition rate have an impact on the critical layer thickness at which the onset of Sn island formation can be observed and discuss the implications both for the samples grown and future research efforts.

(30) III-Vs Monolithic Integration on Silicon with Template Assisted Selective Epitaxy
K.E. Hnida-Gut, O. Skibitzki
Proc. 36th Workshop of the Deutsche Gesellschaft für Kristallwachstum und Kristallzüchtung on Epitaxy of III-V Semiconductors and German MBE Workshop (DGKK/DEMBE 2023), abstr. book 18 (2023)
(III-V_on_Si)

(31) Room Temperature Incorporation of Arsenic Atoms into the Germanium (001) Surface
E.V.S. Hofmann, T.J.Z. Stock, O. Warschkow, R. Conybeare, N.J. Curson, S.R. Schofield
Angewandte Chemie 62(7), e202213982 (2023)
DOI: 10.1002/anie.202213982
Germanium has emerged as an exceptionally promising material for spintronics and quantum information applications, with significant fundamental advantages over silicon. However, efforts to create atomic-scale devices using donor atoms as qubits have largely focused on phosphorus in silicon. Positioning phosphorus in silicon with atomic-scale precision requires a thermal incorporation anneal, but the low success rate for this step has been shown to be a fundamental limitation prohibiting the scale-up to large-scale devices. Here, we present a comprehensive study of arsine (AsH3) on the germanium (001) surface. We show that, unlike any previously studied dopant precursor on silicon or germanium, arsenic atoms fully incorporate into substitutional surface lattice sites at room temperature. Our results pave the way for the next generation of atomic-scale donor devices combining the superior electronic properties of germanium with the enhanced properties of arsine/germanium chemistry that promises scale-up to large numbers of deterministically placed qubits.

(32) Determining Graphene and Substrate Quality from the Coupled Hall Mobility Measurements and Theoretical Modeling
K. Japec, M. Matic, R. Lukose, M. Lisker, M. Lukosius, M. Poljak
Proc. 46th MIPRO ICT and Electronics Convention (MIPRO 2023), 179 (2023)
DOI: 10.23919/MIPRO57284.2023.10159692, (GRONGER)

(33) A RRAM Characterization System with Flexible Readout Operations using an Integrating ADC
R. Jia, S. Pechmann, A. Baroni, Ch. Wenger, A. Hagelauer
Proc. 18th International Conference on PhD Research in Microelectronics and Electronics (PRIME 2023), 245 (2023)
DOI: 10.1109/PRIME58259.2023.10161880, (MIMEC)

(34) Effect of Downsizing and Metallization on Switching Performance of Ultrathin Hafnium Oxide Memory Cells
S. Kalem, S.B. Tekin, Z.E. Kaya, E. Jalaguier, R. Roelofs, S. Yildirim, O. Yavuzcetin, Ch. Wenger
Materials Science in Semiconductor Processing 158, 107346 (2023)
DOI: 10.1016/j.mssp.2023.107346, (Panache)
This paper explores the suitability of atomic layer deposited hafnium oxide (HfO2) based resistive oxide memories for their integration into advanced embedded non-volatile memory technology nodes at 28 nm and below. Downscaling trends in advanced CMOS semiconductor technology and novel user needs require high packing density, lower power consumption, faster read-write with enhanced reliability features. Two terminal resistive memory layers, which were produced under optimized atomic layer deposition conditions have been investigated in terms of these features in addition of downscaling and cost-effective production. The experimental results are focused on downscaling issue of HfO2 based oxide RAMs with an emphasis on structure and electrode metallization dependent resistive switching of Metal/HfO2/Metal memory stacks and associated physical and electrical characteristics. The role of the metallization, microstructure and dielectric properties were determined to have better insight into the switching performance. Finally, a memory cell array test platform was set up using a 4k 1T1R cell array architecture and its suitability was demonstrated for testing the performance of resistive memory cells for advanced technology nodes.

(35) Monolithic Integration of InP Nanowires with CMOS Fabricated Silicon Nanotips Wafer
A. Kamath, O. Skibitzki, D. Spirito, S. Dadgostar, I.M. Martinez, M. Schmidbauer, C. Richter, A. Kwasniewski, J. Serrano, J. Jimenez, C. Golz, M.A. Schubert, J.W. Tomm, N. Gang, F. Hatami
Physical Review Materials 7(10), 103801 (2023)
DOI: 10.1103/PhysRevMaterials.7.103801, (NHEQuanLEA)
The integration of both optical and electronic components on a single chip, despite several challenges, holds the promise of compatibility with complementary metal-oxide semiconductor (CMOS) technology and high scalability. Among all candidate materials, III-V semiconductors exhibit great potential for optoelectronics and quantum-optics based devices, such as light emitters and harvesters. The control over geometry, and dimensionality of the III-V nanostructures, enables one to modify the band structures, and hence provide a powerful tool for tailoring the optoelectronic properties of III-V compounds. One of the most creditable approaches towards such growth control is the combination of using a patterned wafer and the self-assembled epitaxy. This work presents monolithically integrated catalyst-free InP nanowires grown selectively on Si nanotip-patterned, CMOS compatible (001) Si substrates using gas-source molecular-beam epitaxy. We use nanoheteroepitaxy approach to selectively grow InP nanowires on Si nanotips, which holds benefits due to its peculiar substrate design. In addition, our methodology allows the switching of dimensionality of the InP structures between one-dimensional nanowires and three-dimensional bulklike InP nanoislands by thermally modifying the shape of silicon nanotips surrounded by the silicon dioxide layer during the thermal cleaning of the substrate. The structural and optical characterization of nanowires indicates the coexistence of both zincblende and wurtzite InP crystal phases in nanowires. The two different crystal structures were aligned with a type-II band alignment. The luminescence from InP nanowires was measured up to 300 K, which reveals their promising optical quality for integrated photonics and optoelectronic applications.

(36) Investigation of the Impact of Amorphous Silicon Layers Deposited by PECVD and HDP-CVD on Oxide Precipitation in Silicon
G. Kissinger, D. Kot, F. Bärwolf, M. Lisker
Materials Science in Semiconductor Processing 164, 107614 (2023)
DOI: 10.1016/j.mssp.2023.107614, (Future Silicon Wafers)
The effect of deposited a-Si layers with different layer stress on oxide precipitation was investigated in order to find out if intrinsic point defects affecting oxide precipitation are generated at the interface a-Si/Si and if possibly hydrogen affects the oxide precipitation. A thermal cycle of nucleation at 650 °C for 4 h or 8 h followed by stabilization at 780 °C for 3 h, and growth at 1000 °C for 16 h was applied. It was found that there are no signs for the injection of intrinsic point defects from the interface a-Si/Si into the Si substrate during the applied thermal treatment. However if a-Si is deposited on 1000 nm silicon oxide, deposited previously from TEOS in a plasma process, silicon self-interstitials seem to be injected from the interface silicon oxide/Si into the silicon substrate retarding oxide precipitation in the initial stage of nucleation annealing at 650 °C. There are also no signs of any impact of the layer stress on oxide precipitation or self-interstitial injection. The concentration of hydrogen in the layers can be controlled via the RF bias power. The hydrogen concentration is reduced markedly already during annealing at 650 °C. Part of the hydrogen diffuses into the silicon substrate and enhances oxide precipitation if its initial concentration in the layers is higher than 1.5 × 1022 cm−3. For a-Si deposited on 1000 nm silicon oxide, the enhancement effect appears for hydrogen concentrations in the layer higher than approximately 2.8 × 1022 cm−3.

(37) Stochastic Switching of Memristors and Consideration in Circuit Simulation
A. Kloes, C. Bischoff, J. Leise, E. Perez-Bosch Quesada, Ch. Wenger, E. Perez
Solid State Electronics 201, 108606 (2023)
DOI: 10.1016/j.sse.2022.108321, (KI-IoT)
We explore the stochastic switching of oxide-based memristive devices by using the Stanford model for circuit simulation. From measurements, the device-to-device (D2D) and cycle-to-cycle (C2C) statistical variation is extracted. In the low-resistive state (LRS) dispersion by D2D variability is dominant. In the high-resistive state (HRS) C2C dispersion becomes the main source of fluctuation. A statistical procedure for the extraction of parameters of the compact model is presented. Thereby, in a circuit simulation the typical D2D and C2C fluctuations of the current-voltage (I-V) characteristics can be emulated by extracting statistical parameters of key model parameters. The statistical distributions of the parameters are used in a Monte Carlo simulation to reproduce the I-V D2D and C2C dispersions which show a good agreement to the measured curves. The results allow the simulation of the on/off current variation for the design of memory cells or can be used to emulate the synaptic behavior of these devices in artificial neural networks realized by a crossbar array of memristors.

(38) AC Electrokinetic Immobilization of Single Biomolecules on Nano-Electrode Arrays
X. Knigge, Ch. Wenger, F.F. Bier, R. Hölzel
Proc. 4th European Biosensor Symposium (EBS 2023), 109 (2023)

(39) Electrically Pumped GeSn/SiGeSn Micro-Rings Lasers on Si with Minimum Threshold Current of 40 mA
T. Liu, L. Seidel, B. Marzban, M. Oehme, J. Witzens, G. Capellini, D. Grützmacher, D. Buca
Proc. IEEE Silicon Photonics Conference (SiPhotonics 2023), ThC2 (2023)
DOI: 10.1109/SiPhotonics55903.2023.10141949, (DFG GeSn Laser)

(40) Development of a 200 mm Wafer Silicon Nitride PIC Environment for Graphene Electro-Absorption Modulators
R. Lukose, M. Lisker, P.K. Dubey, M.A.I. Raju, A. Peczek, A. Kroh, M. Lukosius, A. Mai
Proc. 55th International Conference on Solid State Devices and Materials (SSDM 2023), 403 (2023)
(2D-EPL)

(41) Developments of Graphene Devices in 200 mm CMOS Pilot Line
M. Lukosius, R. Lukose, M. Lisker, P.K. Dubey, A.I. Raju, D. Capista, F. Majnoon, A. Mai, Ch. Wenger
Proc. IEEE Nanotechnology Materials and Devices Conference (NMDC 2023), 505 (2023)
DOI: 10.1109/NMDC57951.2023.10343569, (2D-EPL)

(42) Integration of Memristive Devices into a 130 nm CMOS Baseline Technology
M.K. Mahadevaiah, M. Lisker, M. Fraschke, St. Marschmeyer, E. Perez, E. Perez-Bosch Quesada, Ch. Wenger, A. Mai
Bio-Inspired Information Pathways, 1st Edition, Editors: M. Ziegler, T. Mussenbrock, H. Kohlstedt, Chapter. Integration of Memristive Devices into a 130 nm CMOS Baseline Technology, Springer Series on Bio- and Neurosystems (SSDM) 16, Springer, 177 (2023)
DOI: 10.1007/978-3-031-36705-2_7, (Neutronics)

(43) Integration of Memristive Devices into a 130 nm CMOS Baseline Technology
M.K. Mahadevaiah, M. Lisker, M. Fraschke, St. Marschmeyer, E. Perez, E. Perez-Bosch Quesada, Ch. Wenger, A. Mai
Bio-Inspired Information Pathways, 1st Edition, Editors: M. Ziegler, T. Mussenbrock, H. Kohlstedt, Chapter. Integration of Memristive Devices into a 130 nm CMOS Baseline Technology, Springer Series on Bio- and Neurosystems (SSDM) 16, Springer, 177 (2023)
DOI: 10.1007/978-3-031-36705-2_7, (NeuroMem)

(44) Integration of Memristive Devices into a 130 nm CMOS Baseline Technology
M.K. Mahadevaiah, M. Lisker, M. Fraschke, St. Marschmeyer, E. Perez, E. Perez-Bosch Quesada, Ch. Wenger, A. Mai
Bio-Inspired Information Pathways, 1st Edition, Editors: M. Ziegler, T. Mussenbrock, H. Kohlstedt, Chapter. Integration of Memristive Devices into a 130 nm CMOS Baseline Technology, Springer Series on Bio- and Neurosystems (SSDM) 16, Springer, 177 (2023)
DOI: 10.1007/978-3-031-36705-2_7, (FMD)

(45) TiN/Ti/HfO2/TiN Memristive Devices for Neuromorphic Computing: From Synaptic Plasticity to Stochastic Resonance  
D. Maldonado, A. Cantudo, E. Perez, R. Romero-Zaliz, E. Perez-Bosch Quesada, M.K. Mahadevaiah, F. Jimenez-Molinos, Ch. Wenger, J.B. Roldan
Frontiers in Neuroscience 17, 1271956 (2023)
DOI: 10.3389/fnins.2023.1271956, (KI-IoT)
We characterize TiN/Ti/HfO2/TiN memristive devices for neuromorphic computing. We analyze different features that allow the devices to mimic biological synapses and present the models to reproduce analytically some of the data measured. In particular, we have measured the spike timing dependent plasticity behavior in our devices and later on we have modeled it. The spike timing dependent plasticity model was implemented as the learning rule of a spiking neural network that was trained to recognize the MNIST dataset. Variability is implemented and its influence on the network recognition accuracy is considered accounting for the number of neurons in the network and the number of training epochs. Finally, stochastic resonance is studied as another synaptic feature.It is shown that this effect is important and greatly depends on the noise statistical characteristics.

(46) Strain Engineered Electrically Pumped SiGeSn Microring Lasers on Si
B. Marzban, L. Seidel, T. Liu, K. Wu, V. Kiyek, M.H. Zoellner, Z. Ikonic, J. Schulze, D. Grützmacher, G. Capellini, M. Oehme, J. Witzens, D. Buca
ACS Photonics 10(1), 217 (2023)
DOI: 10.1021/acsphotonics.2c01508, (DFG GeSn Laser)
SiGeSn holds great promise for enabling fully group-IV integrated photonics operating at wavelengths extending in the mid-infrared range. Here, we demonstrate an electrically pumped GeSn microring laser based on SiGeSn/GeSn heterostructures. The ring shape allows for enhanced strain relaxation, leading to enhanced optical properties, and better guiding of the carriers into the optically active region. We have engineered a partial undercut of the ring to further promote strain relaxation while maintaining adequate heat sinking. Lasing is measured up to 90 K, with a 75 K T0. Scaling of the threshold current density as the inverse of the outer circumference is linked to optical losses at the etched surface, limiting device performance. Modeling is consistent with experiments across the range of explored inner and outer radii. These results will guide additional device optimization, aiming at improving electrical injection and using stressors to increase the bandgap directness of the active material.

(47) Combination of Multiple Operando and In-Situ Characterization Techniques in a Single Cluster System for Atomic Layer Deposition: Unraveling the Early Stages of Growth of Ultrathin Al2O3 Films on Metallic Ti Substrates
C. Morales, A. Mahmoodinezhad, R. Tschammer, J. Kosto, C.A. Chavarin, M.A. Schubert, Ch. Wenger, K. Henkel, J.I. Flege
Inorganics 11(12), 477 (2023)
DOI: 10.3390/inorganics11120477, (iCampus II)
This work presents a new ultra-high vacuum cluster tool to perform systematic studies of the early growth stages of atomic layer deposited (ALD) ultrathin films following a surface science approach. By combining operando (spectroscopic ellipsometry and quadrupole mass spectroscopy) and in-situ (X-ray photoelectron spectroscopy) characterization techniques, the cluster allows to follow the evolution of substrate, film, and intermediate states as a function of the total number of ALD cycles, as well as perform a constant diagnosis and evaluation of the ALD process, detecting possible malfunctions that could affect the growth, reproducibility, and conclusions derived from data analysis. Besides, the home-made ALD reactor allows the use of multiple precursors and oxidants and its operation under pump- and flow-type modes. To illustrate our experimental approach, we revisit the well-known thermal ALD growth of Al2O3 using trimethylaluminum and water. We deeply discuss the role of the metallic Ti thin film substrate at room temperature and 200 °C, highlighting the differences between the hetero-deposition (< 10 cycles) and the homo-deposition (> 10 cycles) growth regimes at both conditions. This surface science approach will benefit our understanding of the ALD process, paving the way towards more efficient and controllable manufacturing processes.
 

(48) Strong Light-Matter Coupling in SiGe Quantum Wells Embedded in Terahertz Patch Antenna Cavities
M. Ortolani, L. Baldassarre, T. Venanzi, F. Berkmann, E. Talamas Simola, M. Montanari, L. DiGaspare, E. Campagna, S. Cibella, A. Notargiacomo, E. Giovine, C. Corley-Wiciak, G. Capellini, M. Virgilio, G. Scalari, M. De Seta
Proc. 48th International Conference on Infrared, Millimeter, and Terahertz Waves (IRMMW-THz 2023), (2023)
DOI: 10.1109/IRMMW-THz57677.2023.10299382, (FLASH)

(49) Enhanced Photodetection in Carbon-based Devices with MIS Parallel Structure
A. Pelella, D. Capista, A. Grillo, E. Faella, M. Passacantando, N. Martucciello, F. Giubileo, P. Romano, A. Di Bartolomeo
Proc. 18th Nanotechnology Materials and Devices Conference (NMDC 2023), 214 (2023)

(50) Experimental Assessment of Multilevel RRAM-based Vector-Matrix Multiplication Operations for In-Memory Computing
E. Perez-Bosch Quesada, M.K Mahadevaiah, T. Rizzi, J. Wen, M. Ulbricht, M. Krstic, Ch. Wenger, E. Perez
IEEE Transactions on Electron Devices 70(4), 2009 (2023)
DOI: 10.1109/TED.2023.3244509, (KI-PRO)
Resistive random access memory (RRAM)-based hardware accelerators are playing an important role in the implementation of in-memory computing systems for artificial intelligence applications. RRAM technology enables parallel vector-matrix multiplication (VMM) operations performed during the inference phase of artificial neural networks, setting the resistive state of the devices as synaptic weights within the neural network. The stochastic nature of such technology must be taken into consideration in order to minimize the accuracy degradation due to undesirable resistive changes after a certain number of operations. In this study, we program two different RRAM sub-arrays composed by 8-by-8 one-transistor-one resistor (1T1R) cells following two different distributions of conductive levels and we analyze their robustness during 1000 consecutive VMM operations. The resistance of the devices under study is monitored during the whole experiment and we could observe different resistive drift/relaxation phenomena that degrades the accuracy of the operations. The trade-off between linearly distributing the resistive states of the RRAM cells and their robustness against nonidealities is evaluated in both, analog and digital domain for future implementation of in-memory computing hardware systems.

(51) Experimental Assessment of Multilevel RRAM-based Vector-Matrix Multiplication Operations for In-Memory Computing
E. Perez-Bosch Quesada, M.K Mahadevaiah, T. Rizzi, J. Wen, M. Ulbricht, M. Krstic, Ch. Wenger, E. Perez
IEEE Transactions on Electron Devices 70(4), 2009 (2023)
DOI: 10.1109/TED.2023.3244509, (MIMEC)
Resistive random access memory (RRAM)-based hardware accelerators are playing an important role in the implementation of in-memory computing systems for artificial intelligence applications. RRAM technology enables parallel vector-matrix multiplication (VMM) operations performed during the inference phase of artificial neural networks, setting the resistive state of the devices as synaptic weights within the neural network. The stochastic nature of such technology must be taken into consideration in order to minimize the accuracy degradation due to undesirable resistive changes after a certain number of operations. In this study, we program two different RRAM sub-arrays composed by 8-by-8 one-transistor-one resistor (1T1R) cells following two different distributions of conductive levels and we analyze their robustness during 1000 consecutive VMM operations. The resistance of the devices under study is monitored during the whole experiment and we could observe different resistive drift/relaxation phenomena that degrades the accuracy of the operations. The trade-off between linearly distributing the resistive states of the RRAM cells and their robustness against nonidealities is evaluated in both, analog and digital domain for future implementation of in-memory computing hardware systems.

(52) Multi-Level Programming on Radiation-Hard 1T1R Memristive Devices for In-Memory Computing
E. Perez-Bosch Quesada, T. Rizzi, A. Gupta, M.K Mahadevaiah, M.A. Schubert, S. Pechmann, R. Jia, M. Uhlmann, A. Hagelauer, Ch. Wenger, E. Perez
Proc. 14th Spanish Conference on Electron Devices (CDE 2023), (2023)
DOI: 10.1109/CDE58627.2023.10339525, (MIMEC)

(53) A Comparison of Resistive Switching Parameters for Memristive Devices with HfO2 Monolayers and Al2O3/HfO2 Bilayers at the Wafer Scale
E. Perez, D. Maldonado, M.K. Mahadevaiah, E. Perez-Bosch Quesada, A. Cantudo, F. Jimenez-Molinos, Ch. Wenger, J.B. Roldan
Proc. 14th Spanish Conference on Electron Devices (CDE 2023), (2023)
DOI: 10.1109/CDE58627.2023.10339417, (KI-IoT)

(54) Parameter Extraction Methods for Assessing Device-to-Device and Cycle-to-Cycle Variability of Memristive Devices at Wafer Scale
E. Perez, D. Maldonado, E. Perez-Bosch Quesada, M.K. Mahadevaiah, F. Jimenez-Molinos, Ch. Wenger, J.B. Rodan
IEEE Transactions on Electron Devices 70(1), 360 (2023)
DOI: 10.1109/TED.2021.3072868, (KI-IoT)
The stochastic nature of the resistive switching (RS) process in memristive devices makes device-to-device (DTD) and cycle-to-cycle (CTC) variabilities relevant magnitudes to be quantified and modeled. To accomplish this aim, robust and reliable parameter extraction methods must be employed. In this work, four different extraction methods were used at the production level (over all the 108 devices integrated on 200-mm wafers manufactured in the IHP 130-nm CMOS technology) in order to obtain the corresponding collection of forming, reset, and set switching voltages. The statistical analysis of the experimental data (mean and standard deviation (SD) values) was plotted by using heat maps, which provide a good summary of the whole data at a glance and, in addition, an easy manner to detect inhomogeneities in the fabrication process.

(55) Mixed Organic Cations Promote Ambient Light-Induced Formation of Metallic Lead in Lead Halide Perovskite Crystals
A. Ray, B. Martín-García, M. Prato, A. Moliterni, S. Bordignon, D. Spirito, S. Marras, L. Goldoni, K.M. Boopathi, F. Moro, N. Casati, C. Giacobbe, M.I. Saidaminov, C. Giannini, M. Chierotti, R. Krahne, L. Manna, A.L. Abdelhady
ACS Applied Materials & Interfaces 15(23), 28166 (2023)
DOI: 10.1021/acsami.3c03366
One major concern toward the performance and stability of halide perovskite-based optoelectronic devices is the formation of metallic lead that promotes nonradiative recombination of charge carriers. The origin of metallic lead formation is being disputed whether it occurs during the perovskite synthesis or only after light, electron, or X-ray beam irradiation or thermal annealing. Here, we show that the quantity of metallic lead detected in perovskite crystals depends on the concentration and composition of the precursor solution. Through a controlled crystallization process, we grew black-colored mixed dimethylammonium (DMA)/methylammonium (MA) lead tribromide crystals. The black color is suggested to be due to the presence of small lead clusters. Despite the unexpected black coloring, the crystals show higher crystallinity and less defect density with respect to the standard yellow-colored DMA/MAPbBr3 crystals, as indicated by X-ray rocking curve and dark current measurements, respectively. While the formation of metallic lead could still be induced by external factors, the precursor solution composition and concentration can facilitate the formation of metallic lead during the crystallization process. Our results indicate that additional research is required to fully understand the perovskite precursor solution chemistry.

(56) Technology-Aware Drift Resilience Analysis of RRAM Crossbar Array Configurations
D. Reiser, M. Reichenbach, T. Rizzi, A. Baroni, M. Fritscher, Ch. Wenger, C. Zambelli, D. Bertozzi
Proc. 21st IEEE Interregional New Circuits And Systems Conference (NEWCAS 2023), (2023)
DOI: 10.1109/NEWCAS57931.2023.10198076

(57) Titanium Nitride Plasmonic Nanohole Arrays for CMOS-Compatible Integrated Refractive Index Sensing: Influence of Layer Thickness on Optical Properties
S. Reiter, W. Han, Ch. Mai, D. Spirito, J. Jose, M.H. Zoellner, O. Fursenko, M.A. Schubert, I. Stemmler, Ch. Wenger, I.A. Fischer
Plasmonics 18, 831 (2023)
DOI: 10.1007/s11468-023-01810-3, (iCampus II)
The combination of nanohole arrays with photodetectors can be a strategy for the large-scale fabrication of miniaturized and cost-effective refractive index sensors on the Si platform. However, complementary metal–oxide–semiconductor (CMOS) fabrication processes place restrictions in particular on the material that can be used for the fabrication of the structures. Here, we focus on using the CMOS compatible transition metal nitride Titanium Nitride (TiN) for the fabrication of nanohole arrays (NHAs). We investigate the optical properties of TiN NHAs with different TiN thicknesses (50 nm, 100 nm, and 150 nm) fabricated using high-precision industrial processes for possible applications in integrated, plasmonic refractive index sensors. Reflectance measurements show pronounced Fano-shaped resonances, with resonance wavelengths between 950 and 1200 nm, that can be attributed to extraordinary optical transmission (EOT) through the NHAs. Using the measured material permittivity as an input, the measured spectra are reproduced by simulations with a large degree of accuracy: Simulated and measured resonance wavelengths deviate by less than 10 nm, with an average deviation of 4 nm observed at incidence angles of 30° and 40°. Our experimental results demonstrate that an increase in the thickness of the TiN layer from 50 to 150 nm leads to a sensitivity increase from 614.5 nm/RIU to 765.4 nm/RIU, which we attribute to a stronger coupling between individual LSPRs at the hole edges with spatially extended SPPs. Our results can be used to increase the performance of TiN NHAs for applications in on-chip plasmonic refractive index sensors.

(58) Process-Voltage-Temperature Variations Assessment in Energy-Aware Resistive RAM-based FPGAs
T. Rizzi, A. Baroni, A. Glukhov, D. Bertozzi, Ch. Wenger, D. Ielmini, C. Zambelli
IEEE Transactions on Device and Materials Reliability 23(3), 328 (2023)
DOI: 10.1109/TDMR.2023.3259015
Resistive Random Access Memory (RRAM) technology holds promises to improve the Field Programmable Gate Array (FPGA) performance, reduce the area footprint, and dramatically lower run-time energy requirements compared to the state-of-the-art CMOS-based products. However, the integration of RRAM in FPGAs is hindered by the high programming power consumption and by non-ideal behaviors of the device due to its stochastic nature that may overshadow the benefits in normal operation mode. To cope with these challenges, optimized programming strategies have to be investigated. In this work, we explore the impact that different procedures to set the device have on the run-time performance. Process, voltage, and temperature (PVT) variations as well as time-dependent drift effect of the RRAM device are considered in the assessment of 4T1R MUX designs characteristics. The comparison with tradition CMOS implementations reveals how the choice of the target resistive state and the programming algorithm are key design aspects to reduce the run-time delay and energy metrics, while at the same time improving the robustness against the different sources of variations.

(59) Selective Epitaxy of Germanium by Reduced Pressure Chemical Vapor Deposition: Effect of Area Growth Size on Morphology, Strain, and Optical Emission
D. Ryzhak, A.A. Corley-Wiciak, P. Steglich, Y. Yamamoto, J. Frigerio, D. Spirito, G. Capellini
Proc. 14th International WorkShop on New Group IV Semiconductor Nanoelectronics (El4GroupIV 2023), 27 (2023)
(VISIR2)

(60) Robust Si/Ge Heterostructure Metasurfaces as Building Blocks for Wavelength-Selective Photodetectors
J. Schlipf, F. Berkmann, Y. Yamamoto, M. Reichenbach, M. Veleski, Y. Kawaguchi, F. Mörz, J.W. Tomm, D. Weißhaupt, I.A. Fischer
Applied Physics Letters 122(12), 121701 (2023)
DOI: 10.1063/5.0134458
We present a design for silicon-compatible vertical Germanium pin photodiodes structured into all-dielectric metasurfaces. Proof-of-principle metasurfaces are fabricated on silicon-on-insulator wafers in a top-down process. Simulations and measurements of the spectroscopic properties, specifically the absorption, show high spectral selectivity, and absorption efficiencies as large as those in bulk Germanium layers with about four times the Ge layer thicknesses. Our metasurface structures can be tuned to the target wavelength through tailoring of the lateral geometry. Possible applications include spectroscopy and hyperspectral imaging, with several metasurfaces for different wavelength ranges integrated with readout circuitry into a low-cost electronic–photonic integrated circuit.

(61) On-Chip Refractive Index Sensors based on Plasmonic TiN Nanohole Ar-Rays
A. Sengül, S. Reiter, W. Han, Ch. Mai, D. Spirito, J. Jose, O. Fursenko, Ch. Wenger, I.A. Fischer
Proc. 10. MikroSystemTechnik Kongress (MST 2023), 336 (2023)
(iCampus II)
This work presents results on the design and fabrication of an integrated refractive index sensor based on plasmonic TiN nanohole arrays (NHAs) in combination with Ge photodetectors. We discuss optical properties of plasmonic TiN NHAs fabricated by using standard industrial processes and compare experimental results with FDTD simulations to identify parameters that boost sensing performance. We, furthermore, present results on combining those NHAs with Ge photodetectors using the ePIC process at the Leibniz Institute for High Performance Microelectronics (IHP), enabling the production of large PIN Ge-diodes on Si wafers. Our results can pave the way towards large-scale production of integrated refractive index sensors for applications ranging from environmental monitoring to point-of-care diagnostics.

(62) Controlling the Nucleation and Growth of Salt from Bodily Fluid for Enhanced Biosensing Applications
S. Srivastava, Y. Terai, J. Liu, G. Capellini, Y.-H. Xie
Biosensors (MDPI) 13(12), 1016 (2023)
DOI: 10.3390/bios13121016
Surface-enhanced Raman spectroscopy (SERS) represents a transformative tool in medical diagnostics, particularly for the early detection of key biomarkers such as small extracellular vesicles (sEVs). Its unparalleled sensitivity and compatibility with intricate biological samples make it an ideal candidate for revolutionizing noninvasive diagnostic methods. However, a significant challenge that mars its efficacy is the throughput limitation, primarily anchored in the prerequisite of hotspot and sEV colocalization within a minuscule range. This paper delves deep into this issue, introducing a never-attempted-before approach which harnesses the principles of crystallization—nucleation and growth. By synergistically coupling lasers with plasmonic resonances, we navigate the challenges associated with the analyte droplet drying method and the notorious coffee ring effect. Our method, rooted in a profound understanding of crystallization’s materials science, exhibits the potential to significantly increase the areal density of accessible plasmonic hotspots and efficiently guide exosomes to defined regions. In doing so, we not only overcome the throughput challenge but also promise a paradigm shift in the arena of minimally invasive biosensing, ushering in advanced diagnostic capabilities for life-threatening diseases.

(63) AC Field Assisted Deposition of Influenza Viruses on Nanoelectrodes
S. Stanke, Ch. Wenger, F.F. Bier, R. Hölzel
Proc. 4th European Biosensor Symposium (EBS 2023), 160 (2023)

(64) Vertical Graphene-Based Transistors for Power Electronics, Optoelectronics and Radio-Frequency Applications
C. Strobel, C.A. Chavarin, Ch. Wenger, M. Albert, T. Mikolajick
Proc. 18th Nanotechnology Materials and Devices Conference (NMDC 2023), 205 (2023)
DOI: 10.1109/NMDC57951.2023.10344102, (FFLEXCOM (D020))

(65) Enhanced Electrical Properties of Optimized Vertical Graphene-Base Hot Electron Transistors
C. Strobel, C.A. Chavarin, S. Völkel, A. Jahn, A. Hiess, M. Knaut, M. Albert, Ch. Wenger, O. Steinke, U. Stephan, S. Röhlecke, T. Mikolajick
ACS Applied Electronic Materials 5(3), 1670 (2023)
DOI: 10.1021/acsaelm.2c01725, (FFLEXCOM (D020))
The arrival of high-mobility two-dimensional materials like graphene leads to the renaissance of former vertical semiconductor-metal-semiconductor (SMS) hot electron transistors. Due to the monolayer thickness of graphene improved SMS transistors with a semi-metallic graphene base electrode are now feasible for high frequency applications. In this study we report about a device which consists of amorphous silicon, graphene, and crystalline silicon. For the first time, this device is fabricated by a four mask lithography process which leads to significant improvements in the device performance. A strongly increased common emitter current gain of 2 % could be achieved while the on-off ratio improved to 1.6x105 , which is already higher than predicted theoretically. This could be mainly attributed to better interface characteristics and decreased lateral dimensions of the devices

(66) Unintentional P-Type Conductivity in Intrinsic Ge-Rich SiGe/Ge Heterostructures Grown on Si(001)
H. Tetzner, W. Seifert, O. Skibitzki, Y. Yamamoto, M. Lisker, M.M. Mirza, I.A. Fischer, D.J. Paul, M. De Seta, G. Capellini
Applied Physics Letters 122(24), 243503 (2023)
DOI: 10.1063/5.0152962, (FLASH)
In this work, we investigate the effective background charge density in intrinsic Si0.06Ge0.94/Ge plastically-relaxed heterostructures deposited on Si(001). Hall effect measurements and capacitance-voltage profiling reveal a p-type conductivity in the nominally intrinsic layer with a hole concentration in the mid 1015 cm-3 range at temperatures between 50 K and 200 K. Owing to a carrier freeze out below 50 K observed by Hall, we attribute the origin of these carriers to the ionization of shallow acceptor-like defect states above the valence band. In addition, one dominant hole trap located at mid-gap position is found by deep level transient spectroscopy. Carrier trapping kinetics measurements can be interpreted as due to a combination of point defects, likely trapped in the strain field of extended defects, i.e. the threading dislocation themselves.

(67) One-Transistor-Multiple-RRAM Cells for Energy-Efficient In-Memory Computing
M. Uhlmann, E. Perez-Bosch Quesada, M. Fritscher, E. Perez, M.A. Schubert, M. Reichenbach, P. Ostrovskyy, Ch. Wenger, G. Kahmen
Proc. 21st IEEE International New Circuits And Systems Conference (NEWCAS 2023), (2023)
DOI: 10.1109/NEWCAS57931.2023.10198073, (Neutronics)

(68) LUT-based RRAM Model for Neural Accelerator Circuit Simulation
M. Uhlmann, T. Rizzi, J. Wen, E. Perez-Bosch Quesada, B. Beattie, K. Ochs, E. Pérez, P. Ostrovskyy, C. Carta, Ch. Wenger, G. Kahmen
Proc. 18th ACM International Symposium on Nanoscale Architectures (NANOARCH 2023), 35 (2023)
DOI: 10.1145/3611315.3633273, (Neutronics)

(69) LUT-based RRAM Model for Neural Accelerator Circuit Simulation
M. Uhlmann, T. Rizzi, J. Wen, E. Perez-Bosch Quesada, B. Beattie, K. Ochs, E. Pérez, P. Ostrovskyy, C. Carta, Ch. Wenger, G. Kahmen
Proc. 18th ACM International Symposium on Nanoscale Architectures (NANOARCH 2023), 35 (2023)
DOI: 10.1145/3611315.3633273, (MIMEC)

(70) An RRAM-based Building Block for Reprogrammable Non-Uniform Sampling ADCs
A. Vishwakarma, M. Fritscher, A. Hagelauer, M. Reichenbach
it - Information Technology 65(1-2), 39 (2023)
DOI: 10.1515/itit-2023-0021, (MIMEC)
RRAM devices have recently seen wide-spread adoption into applications such as neural networks and storage elements since their inherent non-volatility and multi-bit-capability renders them a possible candidate for mitigating the von-Neumann bottleneck. Researchers often face difficulties when developing edge devices, since dealing with sensors detecting parameters such as humidity or temperature often requires large and power-consuming ADCs. We propose a possible mitigation, namely using a RRAM device in combination with a comparator circuit to form a basic block for threshold detection. This can be expanded towards programmable non-uniform sampling ADCs, significantly reducing both area and power consumption since significantly smaller bit-resolutions are required. We demonstrate how a comparator circuit designed in 130 nm technology can be reprogrammed by programming the incorporated RRAM device. Our proposed building block consumes 83 μW.

(71) Three-Dimensional Self-Ordered Multilayered Ge Nanodots on SiGe
W.-C. Wen, M.A. Schubert, M.H. Zoellner, B. Tillack, Y. Yamamoto
ECS Journal of Solid State Science and Technology 12(5), 055001 (2023)
DOI: 10.1149/2162-8777/acce06
Three-dimensional (3D) self-ordered Ge nanodots in cyclic epitaxial growth of Ge/SiGe superlattice on Si0.4Ge0.6 virtual substrate (VS) were fabricated by reduced pressure chemical vapor deposition. The Ge nanodots were formed by Stranski-Krastanov mechanism. By the Ge/SiGe superlattice deposition, dot-on-dot alignment and <100> alignment were obtained toward the vertical and lateral direction, respectively. Facets and growth mechanism of Ge nanodots and key factors of alignment were studied. Two types of Ge nanodots were observed, diamond-like nanodots composed of {105} and dome-like nanodots composed of {113} and {519} or {15 3 23} facets. The Ge nanodots tend to grow directly above the nanodots of the previous period as these regions show a relatively higher tensile strain induced by the buried nanodots. Thus, this dot-on-dot alignment is sensitive to the SiGe spacer thickness, and it degrades when the SiGe spacer becomes thicker. The Ge content of the SiGe spacer ranging from 45 to 52% affects the lateral alignment and the size uniformity of Ge nanodots because of the strain balance between the superlattice and the VS. By maintaining the strain balance, ordering of the 3D aligned Ge nanodots can be improved.

(72) Lateral Selective Epitaxial SiGe Growth for Locally Dislocation-Free Virtual Substrate Fabrication
Y. Yamamoto, W.-C. Wen, M.A. Schubert, A.A. Corley-Wiciak, S. Sugawa, Y. Ito, R. Yokogawa, H. Han, R. Loo, A. Ogura, B. Tillack
Proc. 14th International WorkShop on New Group IV Semiconductor Nanoelectronics (El4GroupIV 2023), 11 (2023)
Locally dislocation-free SiGe-on-insulator (SGOI) is fabricated by chemical vapor deposition. Lateral selective SiGe growth of ~30%, ~45% and ~55% is performed around ~1 µm square Si(001) pillar located under the center of a 6.3 µm square SiO2 on Si-on-insulator substrate which is formed by H2-HCl vapor phase etching. The selective SiGe is deposited by H2-SiH2Cl2-GeH4-HCl. In the deposited SiGe layer, tensile strain is observed by top-view. The degree of strain is slightly increased at the corner of the SiGe. The tensile strain is caused by the partial compressive strain of SiGe in lateral direction and thermal expansion difference between Si and SiGe. Slightly higher Ge incorporation is observed in higher tensile strain region. At the peaks formed between the facets of growth front, Ge incorporation is reduced. These phenomena are pronounced for SiGe with higher Ge contents. Dislocation-free SGOI is formed along <010> from the Si pillar by lateral aspect-ratio-trapping.
 

(73) Thin and Locally Dislocation-Free SiGe Virtual Substrate Fabrication by Lateral Selective Epitaxy
Y. Yamamoto, W.-C. Wen, M.A. Schubert, A.A. Corley-Wiciak, S. Sugawa, Y. Ito, R. Yokogawa, A. Ogura, B. Tillack
Proc. 55th International Conference on Solid State Devices and Materials (SSDM 2023), 527 (2023)

(74) High Crystallinity Ge Growth on Si (111) and Si (110) by Using Reduced Pressure Chemical Vapor Deposition
Y. Yamamoto, W.-C. Wen, M.A. Schubert, C. Corley-Wiciak, B. Tillack
ECS Journal of Solid State Science and Technology 12(2), 023014 (2023)
DOI: 10.1149/2162-8777/acbb9d
A method for high quality epitaxial growth of Ge on Si (111) and Si (110) is investigated by reduced pressure chemical vapor deposition. Two step Ge epitaxy (low temperature Ge seed and high temperature main Ge growth) with several cycles of annealing by interrupting the Ge growth (cyclic annealing) is performed. In the case of Ge seed layer growth below 350 °C for (111) and 400 °C for (110) orientation, huge surface roughening due to too high dislocation density is observed after the following annealing step. For both crystal orientations, a high crystallinity Ge seed layer is realized by combination of 450 °C growth with 800 °C annealing. Once the high-quality Ge seed layer is deposited, high crystal quality Ge can be grown at 600 °C on the seed layer for both crystal orientations. For the 5 µm thick Ge layer deposited with the cyclic annealing process at 800 °C, a Si diffusion length of ~400 nm from the interface, RMS roughness below 0.5 nm and threading dislocation density of 5×106 cm-2 are achieved for both (111) and (110) substrates

(75) Redox-Based Bi-Layer Metal Oxide Memristive Devices
F. Zahari, S. Park, M.K. Mahadevaiah, Ch. Wenger, H. Kohlstedt, M. Ziegler
Bio-Inspired Information Pathways, 1st Edition, Editors: M. Ziegler, T. Mussenbrock, H. Kohlstedt, Chapter. Redox-Based Bi-Layer Metal Oxide Memristive Devices, Springer Series on Bio- and Neurosystems (SSBN) 16, Springer, 87 (2023)
DOI: 10.1007/978-3-031-36705-2_3, (NeuroMem)

(76) Neuromorphic Circuits with Redox-Based Memristive Devices
F. Zahari, M. Ziegler, P. Doerwald, Ch. Wenger, H. Kohlstedt
Bio-Inspired Information Pathways, 1st Edition, Editors: M. Ziegler, T. Mussenbrock, H. Kohlstedt, Chapter. Redox-Based Bi-Layer Metal Oxide Memristive Devices, Springer Series on Bio- and Neurosystems (SSBN) 16, Springer, 43 (2023)
DOI: 10.1007/978-3-031-36705-2_2, (NeuroMem)

The website is designed for modern browsers. Please use a current browser.